Part Number Hot Search : 
PIC18 ASI10711 VP531 C1652 TA8066AS PDU18F AP40T03 476M0
Product Description
Full Text Search
 

To Download TMPR4955C Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  64-bit tx system risc tx49 family tmpr4955b/TMPR4955C rev. 1.1 semiconductor company
the information contained herein is subject to change without notice. 021023_d toshiba is continually working to improve the quality and reliability of its products. nevertheless, semiconductor devices in general can malfunction or fail due to their inherent electrical sensitivity and vulnerability to physical stress. it is the responsibility of the buyer, when utilizing toshiba products, to comply with the standards of safety in making a safe design for the entire system, and to avoid situations in which a malfunction or failure of such toshiba products could cause loss of human life, bodily injury or damage to property. in developing your designs, please ensure that toshiba products are used within specified operating ranges as set forth in the most recent toshiba products specifications. also, please keep in mind the precautions and conditions set forth in the ?handling guide for semiconductor devices,? or ?toshiba semiconductor reliability handbook? etc. 021023_a the toshiba products listed in this document are intended for usage in general electronics applications (computer, personal equipment, office equipment, measuring equipment, industrial robotics, domestic appliances, etc.). these toshiba products are neither intended nor warranted for usage in equipment that requires extraordinarily high quality and/or reliability or a malfunction or failure of which may cause loss of human life or bodily injury (?unintended usage?). unintended usage include atomic energy control instruments, airplane or spaceship instruments, transportation instruments, traffic signal instruments, combustion control instruments, medical instruments, all types of safety devices, etc. unintended usage of toshiba products listed in this document shall be made at the customer?s own risk. 021023_b the products described in this document shall not be used or embedded to any downstream products of which manufacture, use and/or sale are prohibited under any applicable laws and regulations. 060106_q the information contained herein is presented only as a guide for the applications of our products. no responsibility is assumed by toshiba for any infringements of patents or other rights of the third parties which may result from its use. no license is granted by implication or otherwise under any patent or patent rights of toshiba or others. 021023_c r4000/r4400/r5000 are a trademark of mips technologies, inc. 060116_x please use this product in compliance with all applicable laws and regulations that regulate the inclusion or use of controlled substances. toshiba assumes no liability for damage or losses occurring as a result of noncompliance with applicable laws and regulations. 060819_af the products described in this document may include products subject to the foreign exchange and foreign trade laws. 021023_f the products described in this document contain components made in the united states and subject to export control of the u.s. authorities. diversion contrary to the u.s. law is prohibited. 021023_g ? 2006 toshiba corporation all rights reserved
preface thank you for your new or continued patronage of toshiba semiconductor products. this is the 2006 edition of the user?s manual for the tmpr4955b/TMPR4955C 64-bit risc microprocessor. this databook is written so as to be accessible to engineers who may be designing a toshiba microprocessor into their products for the first time. no prior knowledge of this device is assumed. what we offer here is basic information about the microproce ssor, a discussion of the application fields in which the microprocessor is utilized, and an overview of design methods. on the other hand, the more experienced designer will find complete technical specifications for this product. toshiba continually updates its technical information. your comments and suggestions concerning this and other toshiba documents are sincerely appreciated and may be utilized in subsequent editions. for updating of the data in this manual, or for additional inform ation about the product appearing in it, please contact your nearest toshiba office or authorized toshiba dealer. september 2006

table of contents i table of contents handling precautions tmpr4955b/TMPR4955C 1. introduction ................................................................................................................... ................... 1-1 1.1 overview ....................................................................................................................... ................ 1-1 1.2 notation used in this manual ................................................................................................... ..... 1-1 1.2.1 numerical notation ............................................................................................................. ... 1-1 1.2.2 data notation .................................................................................................................. ....... 1-1 1.2.3 signal notation ................................................................................................................ ....... 1-1 1.2.4 register notation .............................................................................................................. ...... 1-1 2. features....................................................................................................................... ...................... 2-1 2.1 block diagram.................................................................................................................. ............. 2-2 2.2 pin description................................................................................................................ .............. 2-3 2.2.1 tmpr4955b/TMPR4955C pin out (160-pin qfp) ................................................................ 2-3 2.2.2 tx4955 pin description ......................................................................................................... .2-6 3. tx49/h3, tx49/h4 core?s registers .............................................................................................. .3-1 3.1 cpu registers .................................................................................................................. ............. 3-1 3.2 cp0 registers .................................................................................................................. .............. 3-2 3.2.1 index register (reg # 0) ............................................................................................................ 3-3 3.2.2 random register (reg # 1)........................................................................................................ 3-4 3.2.3 entrylo0 register (reg # 2) and entrylo1 register (reg # 3)...................................................... 3-5 3.2.4 context register (reg # 4) ......................................................................................................... 3-6 3.2.5 pagemask register (reg # 5) ..................................................................................................... 3-7 3.2.6 wired register (reg # 6)............................................................................................................ 3-8 3.2.7 badvaddr register (reg # 8) ..................................................................................................... 3-9 3.2.8 count register (reg # 9).......................................................................................................... 3-10 3.2.9 entryhi register (reg # 10)..................................................................................................... 3-11 3.2.10 compare register (reg # 11) ................................................................................................... 3-12 3.2.11 status register (reg # 12) ........................................................................................................ 3-13 3.2.12 cause register (reg # 13) ........................................................................................................ 3-16 3.2.13 epc register (reg # 14) .......................................................................................................... 3-17 3.2.14 prid register (reg # 15) ......................................................................................................... 3-18 3.2.15 config register (reg # 16)....................................................................................................... 3-19 3.2.16 lladdr register (reg # 17)..................................................................................................... 3-21 3.2.17 xcontext register (reg # 20)................................................................................................... 3-22 3.2.18 debug register (reg # 23) ....................................................................................................... 3-23 3.2.19 depc register (reg # 24) ....................................................................................................... 3-25 3.2.20 taglo register (reg # 28) and taghi register (reg # 29) .......................................................... 3-26 3.2.21 errorepc register (reg # 30).................................................................................................. 3-27 3.2.22 desave register (reg # 31)................................................................................................... 3-28 3.3 floating-point unit, cp1 ....................................................................................................... ...... 3-29 3.3.1 floating-point general registers (fgrs)............................................................................... 3-29 3.3.2 floating-point control registers............................................................................................ 3-3 0 3.3.3 accessing the fp control and implementation/revision registers ........................................ 3-34 4. memory management system ....................................................................................................... .... 4-1 4.1 address space overview......................................................................................................... ....... 4-1 4.1.1 virtual address space .......................................................................................................... ... 4-1 4.1.2 physical address space ......................................................................................................... .. 4-2 4.1.3 virtual-to-physical address translation ................................................................................... 4-2 4.1.4 32-bit mode address translation............................................................................................. 4-3 4.1.5 64-bit mode address translation ............................................................................................ 4-4 4.2 operating modes ................................................................................................................ ........... 4-5
table of contents ii 4.2.1 user mode operations ........................................................................................................... .4-5 4.2.2 supervisor mode operations ................................................................................................... 4- 7 4.2.3 kernel mode operations ......................................................................................................... 4-9 4.3 translation lookaside buffer ................................................................................................... .... 4-15 4.3.1 joint tlb...................................................................................................................... ........ 4-15 4.3.2 tlb entry format ............................................................................................................... .. 4-15 4.3.3 instruction-tlb ................................................................................................................ .... 4-16 4.3.4 data-tlb....................................................................................................................... ....... 4-16 4.4 virtual-to-physical address translation process ........................................................................... 4-16 5. cache organization............................................................................................................. .............. 5-1 5.1 memory organization ............................................................................................................ ....... 5-1 5.2 cache organization ............................................................................................................. .......... 5-2 5.2.1 cache sizes .................................................................................................................... ......... 5-2 5.2.2 cache line lengths ............................................................................................................. ... 5-2 5.2.3 organization of the instruction cache (i-cache) ..................................................................... 5-2 5.2.4 instruction cache address field.............................................................................................. 5- 3 5.2.5 instruction cache configuration ............................................................................................. 5-3 5.2.6 organization of the data cache (d-cache) ............................................................................. 5-4 5.2.7 data cache address field ....................................................................................................... 5-4 5.2.8 data cache configuration....................................................................................................... 5-4 5.3 lock function .................................................................................................................. ............. 5-5 5.3.1 lock bit setting and clearing .................................................................................................. 5-5 5.3.2 operation during lock .......................................................................................................... .. 5-6 5.3.3 example of data cache locking............................................................................................. 5-6 5.3.4 example of instruction cache locking ................................................................................... 5-6 5.4 the primary cache accessing.................................................................................................... .... 5-7 5.5 cache states ................................................................................................................... ............... 5-7 5.6 cache line ownership ........................................................................................................... ....... 5-8 5.7 cache multi-hit operation ...................................................................................................... ...... 5-8 5.8 fifo replacement algorithm ..................................................................................................... .. 5-8 5.9 cache testing .................................................................................................................. .............. 5-9 5.9.1 cache disabling ................................................................................................................ ...... 5-9 5.9.2 cache flushing ................................................................................................................. ...... 5-9 5.10 cache operations ............................................................................................................... ......... 5-10 5.10.1 cache write policy............................................................................................................. ... 5-11 5.10.2 data cache line replacement .............................................................................................. 5-11 5.10.3 instruction cache line replacement ..................................................................................... 5-12 5.11 manipulation of the caches by an external agent ....................................................................... 5-12 6. write buffer ................................................................................................................... ................... 6-1 7. debug support unit ............................................................................................................. ............. 7-1 7.1 features ....................................................................................................................... .................. 7-1 7.2 ejtag interface................................................................................................................ ............ 7-1 7.3 debug unit..................................................................................................................... ............... 7-2 7.3.1 extended instructions .......................................................................................................... ... 7-2 7.3.2 extended debug registers in cp0 ........................................................................................... 7-2 7.4 register map ................................................................................................................... .............. 7-2 7.5 processor bus break function................................................................................................... ..... 7-2 7.6 debug exception ................................................................................................................ ........... 7-2 8. cpu exception .................................................................................................................. ............... 8-1 8.1 introduction................................................................................................................... ................ 8-1 8.2 exception vector locations ..................................................................................................... ...... 8-1 8.3 priority of exception .......................................................................................................... ........... 8-2 8.4 coldreset exception............................................................................................................ .......... 8-3 8.4.1 cause .......................................................................................................................... ............ 8-3 8.4.2 processing ..................................................................................................................... .......... 8-3 8.4.3 servicing ...................................................................................................................... ........... 8-3 8.5 softreset exception ............................................................................................................ ........... 8-4 8.5.1 cause .......................................................................................................................... ............ 8-4
table of contents iii 8.5.2 processing ..................................................................................................................... .......... 8-4 8.5.3 servicing ...................................................................................................................... ........... 8-4 8.6 nmi (non-maskable interrupt) exception ..................................................................................... 8-5 8.6.1 cause .......................................................................................................................... ............ 8-5 8.6.2 processing ..................................................................................................................... .......... 8-5 8.6.3 servicing ...................................................................................................................... ........... 8-5 8.7 address error exception........................................................................................................ ........ 8-6 8.7.1 cause .......................................................................................................................... ............ 8-6 8.7.2 processing ..................................................................................................................... .......... 8-6 8.7.3 servicing ...................................................................................................................... ........... 8-6 8.8 tlb refill exception ........................................................................................................... .......... 8-7 8.8.1 cause .......................................................................................................................... ............ 8-7 8.8.2 processing ..................................................................................................................... .......... 8-7 8.8.3 servicing ...................................................................................................................... ........... 8-7 8.9 tlb invalid exception.......................................................................................................... ......... 8-8 8.9.1 cause .......................................................................................................................... ............ 8-8 8.9.2 processing ..................................................................................................................... .......... 8-8 8.9.3 servicing ...................................................................................................................... ........... 8-8 8.10 tlb modified exception ......................................................................................................... ...... 8-9 8.10.1 cause .......................................................................................................................... ............ 8-9 8.10.2 processing ..................................................................................................................... .......... 8-9 8.10.3 servicing ...................................................................................................................... ........... 8-9 8.11 bus error exception ............................................................................................................ ........ 8-10 8.11.1 cause .......................................................................................................................... .......... 8-10 8.11.2 processing ..................................................................................................................... ........ 8-10 8.11.3 servicing ...................................................................................................................... ......... 8-10 8.12 integer overflow exception ..................................................................................................... .... 8-12 8.12.1 cause .......................................................................................................................... .......... 8-12 8.12.2 processing ..................................................................................................................... ........ 8-12 8.12.3 servicing ...................................................................................................................... ......... 8-12 8.13 trap exception ................................................................................................................. ........... 8-13 8.13.1 cause .......................................................................................................................... .......... 8-13 8.13.2 processing ..................................................................................................................... ........ 8-13 8.13.3 servicing ...................................................................................................................... ......... 8-13 8.14 system call exception .......................................................................................................... ....... 8-14 8.14.1 cause .......................................................................................................................... .......... 8-14 8.14.2 processing ..................................................................................................................... ........ 8-14 8.14.3 servicing ...................................................................................................................... ......... 8-14 8.15 breakpoint exception........................................................................................................... ........ 8-15 8.15.1 cause .......................................................................................................................... .......... 8-15 8.15.2 processing ..................................................................................................................... ........ 8-15 8.15.3 servicing ...................................................................................................................... ......... 8-15 8.16 reserved instruction exception................................................................................................. ... 8-16 8.16.1 cause .......................................................................................................................... .......... 8-16 8.16.2 processing ..................................................................................................................... ........ 8-16 8.16.3 servicing ...................................................................................................................... ......... 8-16 8.17 coprocessor unusable exception ................................................................................................. 8-17 8.17.1 cause .......................................................................................................................... .......... 8-17 8.17.2 processing ..................................................................................................................... ........ 8-17 8.17.3 servicing ...................................................................................................................... ......... 8-17 8.18 floating-point exception ....................................................................................................... ...... 8-18 8.18.1 cause .......................................................................................................................... .......... 8-18 8.18.2 processing ..................................................................................................................... ........ 8-18 8.18.3 servicing ...................................................................................................................... ......... 8-18 8.19 interrupt exception............................................................................................................ .......... 8-19 8.19.1 cause .......................................................................................................................... .......... 8-19 8.19.2 processing ..................................................................................................................... ........ 8-19 8.19.3 servicing ...................................................................................................................... ......... 8-19 8.20 exception handling and servicing flowcharts ............................................................................. 8-20 8.21 floating-point exception of floating-point unit (cp1) ................................................................ 8-25 8.21.1 introduction ................................................................................................................... ....... 8-25
table of contents iv 8.21.2 exception types................................................................................................................ .... 8-25 8.21.3 exception trap processing .................................................................................................... 8- 26 8.21.4 flags .......................................................................................................................... ........... 8-26 8.21.5 fpu exceptions ................................................................................................................. ... 8-27 8.21.6 saving and restoring state .................................................................................................... 8 -30 8.21.7 trap handlers for ieee standard 754 exceptions ................................................................. 8-30 9. initialization interface ....................................................................................................... ................ 9-1 9.1 functional overview ............................................................................................................ ......... 9-1 9.1.1 system coordination............................................................................................................ ... 9-1 9.2 reset signal description ....................................................................................................... ......... 9-2 9.2.1 power-on reset................................................................................................................. ...... 9-2 9.2.2 cold reset..................................................................................................................... .......... 9-3 9.2.3 soft reset ..................................................................................................................... ........... 9-4 9.3 user-selectable mode configurations ............................................................................................ 9-5 9.3.1 system bus interface modes.................................................................................................... 9 -5 9.3.2 clock divisor for the system bus ............................................................................................ 9-5 9.3.3 system endianness.............................................................................................................. .... 9-5 9.3.4 enabling and disabling the timer interrupt ............................................................................ 9-5 10. clock interface ................................................................................................................ ................ 10-1 10.1 signal terminology............................................................................................................. ......... 10-1 10.2 basic system clocks ............................................................................................................ ........ 10-2 10.2.1 masterclock.................................................................................................................... ...... 10-2 10.2.2 cpuclk......................................................................................................................... ..... 10-2 10.2.3 gbusclk ........................................................................................................................ ... 10-2 10.2.4 cpuclk-to-gbusclk division......................................................................................... 10-3 10.2.5 phase-locked loop (pll) .................................................................................................... 10-3 10.3 connecting clocks to a phase-locked system.............................................................................. 10-4 11. tx4955 system interface........................................................................................................ ......... 11-1 11.1 introduction................................................................................................................... .............. 11-1 11.2 explanation of system interface of r5000 type prot ocol mode .................................................. 11-1 11.2.1 interface bus .................................................................................................................. ....... 11-2 11.2.2 address cycle and data cycle............................................................................................... 11-2 11.2.3 issue cycle .................................................................................................................... ........ 11-3 11.2.4 handshake signal............................................................................................................... ... 11-4 11.2.5 system interface protocol of r5000 type.............................................................................. 11-4 11.2.6 processor requests and external requests............................................................................. 11-6 11.2.7 handling of requests .......................................................................................................... 1 1-10 11.2.8 processor request and external request protocol ............................................................... 11-12 11.2.9 data transfer .................................................................................................................. .... 11-25 11.2.10 system interface cycle time ............................................................................................... 11-26 11.2.11 system interface command and data identifiers ................................................................. 11-27 11.2.12 system interface addresses ................................................................................................. 11-3 2 11.2.13 mode register of system interface (g2sconfig).................................................................. 11-32 11.2.14 data error detection........................................................................................................... 11-33 11.3 system interface of r4300 type protocol mode ........................................................................ 11-34 11.3.1 system interface description of r4300 type protocol mode ............................................... 11-34 11.3.2 system events .................................................................................................................. ... 11-37 11.3.3 system event sequences and the sysad bus protocol......................................................... 11-37 11.3.4 system interface protocols .................................................................................................. 11- 40 11.3.5 timing summary ................................................................................................................ 1 1-42 11.3.6 arbitration .................................................................................................................... ...... 11-46 11.3.7 issuing commands.............................................................................................................. 1 1-47 11.3.8 processor write request...................................................................................................... 11 -47 11.3.9 processor read request....................................................................................................... 11 -49 11.3.10 external write request ....................................................................................................... 11 -49 11.3.11 external read response ...................................................................................................... 11- 51 11.3.12 flow control................................................................................................................... .... 11-53 11.3.13 data rate control.............................................................................................................. .11-54
table of contents v 11.3.14 consecutive sysad bus transactions.................................................................................. 11-55 11.3.15 starvation and deadlock avoidance .................................................................................... 11-57 11.3.16 discarding and re-executing read command .................................................................... 11-57 11.3.17 multiple drivers on the sysad bus ..................................................................................... 11-58 11.3.18 signal codes ................................................................................................................... .... 11-59 11.3.19 physical addresses ............................................................................................................. .11-61 11.3.20 mode register of system interface (g2sconfig).................................................................. 11-61 11.3.21 read time out counter (mode43 * = 0) ........................................................................... 11-62 12. tx4955 processor interrupts .................................................................................................... ....... 12-1 12.1 nonmaskable interrupt .......................................................................................................... ...... 12-2 12.2 external interrupts............................................................................................................ ........... 12-2 12.3 interrupts by external write through sysad[6:0] ....................................................................... 12-2 12.4 software interrupt............................................................................................................. ........... 12-2 12.5 timer interrupt................................................................................................................ ............ 12-3 12.6 masking of the tx4955 interrupts ............................................................................................... 12-3 12.7 recognition of the processor interrupts ....................................................................................... 1 2-3 13. power-saving modes ............................................................................................................. .......... 13-1 13.1 halt mode ...................................................................................................................... ............. 13-1 13.2 doze mode...................................................................................................................... ............ 13-1 13.3 status shifts .................................................................................................................. ............... 13-2 14. jtag interface................................................................................................................. ............... 14-1 14.1 what boundary scanning is ...................................................................................................... .. 14-1 14.2 signal summary ................................................................................................................. ......... 14-2 14.3 jtag controller and registers .................................................................................................. .. 14-3 14.3.1 instruction register ........................................................................................................... .... 14-3 14.3.2 bypass register ................................................................................................................ ..... 14-4 14.3.3 boundary-scan register(bsr) ............................................................................................... 14-5 14.3.4 device identification register................................................................................................ 1 4-7 14.3.5 test access port (tap)......................................................................................................... .14-8 14.3.6 tap controller ................................................................................................................. .... 14-8 14.3.7 controller reset ............................................................................................................... ..... 14-8 14.3.8 tap controller ................................................................................................................. .... 14-9 14.4 instructions for jtag .......................................................................................................... ...... 14-13 14.4.1 the extest instruction .................................................................................................... 14-13 14.4.2 the sample/preload instruction ............................................................................... 14-14 14.4.3 the bypass instruction..................................................................................................... 14-1 5 14.4.4 the idcode instruction ................................................................................................... 14-15 15. cpu instruction set summary .................................................................................................... .... 15-1 15.1 introduction................................................................................................................... .............. 15-1 15.2 instruction format............................................................................................................. .......... 15-1 15.3 instruction set overview....................................................................................................... ....... 15-2 15.3.1 load and store instructions (table 15.3.1) ............................................................................ 15-2 15.3.2 computational instructions (table 15.3.2)............................................................................. 15-3 15.3.3 jump and branch instructions (table 15.3.3) ......................................................................... 15-4 15.3.4 special instructions (table 15.3.4) ......................................................................................... 15- 5 15.3.5 exception instructions (table 15.3.5)..................................................................................... 15-5 15.3.6 coprocessor instructions (table 15.3.6) ................................................................................. 15-6 15.3.7 cp0 instructions (table 15.3.7) ............................................................................................. 15- 6 15.3.8 multiply and divide instructions (table 15.3.8)..................................................................... 15-7 15.3.9 debug instructions (table 15.3.9).......................................................................................... 15-7 15.3.10 other instructions (table 15.3.10) ......................................................................................... 15-7 15.4 instruction execution cycles................................................................................................... ..... 15-7 15.5 defining access types .......................................................................................................... ....... 15-8 15.6 bit encoding of cpu instruction opcodes .................................................................................. 15-9 16. fpu instruction set details .................................................................................................... ......... 16-1 16.1 instruction formats ............................................................................................................ ......... 16-1 16.2 instruction set summary ........................................................................................................ ..... 16-2
table of contents vi 16.2.1 floating-point loads, stores, and moves ............................................................................... 16-2 16.2.2 floating-point computational instructions............................................................................ 16-2 16.3 load and store instructions .................................................................................................... ..... 16-3 16.4 computational instructions ..................................................................................................... .... 16-5 16.5 bit encoding of fpu instruction op codes.................................................................................. 16-8 17. electrical characteristics ..................................................................................................... ............ 17-1 17.1 tmpr4955b electrical characteristics ........................................................................................ 17- 1 17.1.1 absolute maximum ratings .................................................................................................. 17-1 17.1.2 recommended operating conditions .................................................................................... 17-1 17.1.3 dc characteristics ............................................................................................................. ... 17-2 17.1.4 ac characteristics ............................................................................................................. ... 17-3 17.1.5 timing diagrams ................................................................................................................ .. 17-3 17.2 TMPR4955C electrical characteristics ........................................................................................ 17- 6 17.2.1 absolute maximum ratings .................................................................................................. 17-6 17.2.2 recommended operating conditions .................................................................................... 17-6 17.2.3 dc characteristics ............................................................................................................. ... 17-7 17.2.4 ac characteristics ............................................................................................................. ... 17-8 17.2.5 timing diagrams ................................................................................................................ .. 17-8 18. package dimension .............................................................................................................. ........... 18-1 18.1 tmpr4955bfg/TMPR4955Cfg .............................................................................................. 18-1 appendix a. pll passive components....................................................................................................... a- 1 appendix b. movement parameter setting of a processor .............................................................................b-1 appendix c. differences between tmpr4955bfg and TMPR4955Cfg ................................................... c-1
handling precautions

handling precautions 1 1. precautions for semiconductor product use toshiba is continually working to improve the quality and reliability of its products. nevertheless, semiconductor devices in general can malfunction or fail due to their inherent electrical sensitivity and vulnerability to physical stress. it is the responsibility of the buyer, when utilizing toshiba semiconductor products, to comply with the standards of safety in making a safe design for the entire system, and to avoid situations in which a malfunction or failure of such toshiba semiconductor products could cause loss of human life, bodily injury or damage to property. in developing your designs, please check the most r ecent product specifications and ensure that the toshiba semiconductor products are used within the operating ranges. also, please keep in mind the precautions and conditions set forth in this handbook.
handling precautions 2 2. safety precautions this section lists important precauti ons which users of semiconductor devices (and anyone else) should observe in order to avoid injury to human body and damage to property, and to ensure safe and correct use of devices. please be sure that you understand the meanings of the labels and graphic symbols described below before you move on to the detailed descriptions of the precautions, and make every effort to observe the precautions stated. [explanation of labels] label meaning indicates an imminently hazardous situation which will result in death or serious injury 1 if you do not followinstructions. indicates a potentially hazardous situation which could result in death or serious injury 1 if you do not followinstructions. indicates a potentially hazardous situation which if not avoided, may result in minor injury 2 , moderate injury 2 , or property damage 3 . 1. serious injury includes blindness, wounds, burns (l ow and high temperature), electric shock, fractures, and poisoning, etc. with long-lasting effects or that require hospitalization and/or long-term hospital visits for treatment. 2. injury includes wounds, burns, electric shock, etc. not requiring hospitalization and/or long-term hospital visits for treatment. 3. damage includes extensive damage to machines and equipment. [explanation of graphic symbols] graphic symbol meaning prohibited indicates prohibited (restricted) actions. prohibited actions are explained in or near the symbols in pictures and sentences. instructions indicates compulsory (mandatory) actions. compulsory actions are explained in or near the symbols in pictures and sentences.
handling precautions 3 caution indicates cautions. cautions are explained in or near the symbols in pictures and sentences. caution example of a caution symbol: indicates laser beam caution.
handling precautions 4 2.1 general precautions regarding semiconductor products prohibited the absolute maximum ratings of a semiconductor device are a set of ratings that must not be exceeded, even for a moment. do not exceed any of these ratings. exceeding the rating(s) may cause the device breakdown, damage or deterioration, and may result injury by explosion or combustion. prohibited do not insert devices in the wrong orientation or incorrectly. make sure that the positive and negative terminals of power supplies are connected properly. otherwise, the current or power consumption may exceed the absolute maximum rating, and exceeding the rating(s) may cause the device breakdown, damage or deterioration, and may result injury by explosion or combustion. in addition, do not use any device that is applied the current with inserting in the wrong orientation or incorrectly even just one time. prohibited do not touch the heat sink of the device while the device is on or immediately after the device has been turned off. heat sinks become hot. contact to the heat sink may result in a burn. prohibited do not touch the lead tips of a device. some devices have leads withsharp tips. contact to sharp tips may result in a puncture wound. instructions on the evaluation, inspection or test, be sure to connect the test equipment?s electrodes or probes to the pins of the device before turning the power on. when you have finished, discharge any electrical charge remaining in the device. insufficient connection, wrong power-on timing or incomplete discharge may cause electric shock, resulting in injury. instructions check that there is no electrical leakage before grounding measuring equipment or a solder iron. electrical leakage may cause the device you are testing or soldering to electrically break down or may cause electric shock. instructions always wear safety glasses when cutting the leads of a device with clippers or a similar tool. failure to do so may result in eye damage from the small shavings that fly off the cut ends.
handling precautions 5 2.2 precautions specific to product group 2.2.1 optical semiconductor devices prohibited when a semiconductor laser is operating, do not look into the laser beam or look through the optical system. doing so may damage your eyesight or, in the worst case, cause blindness. when inspecting the optical characteristics of the laser using laser protective glasses, be sure the glasses comply with jisc6802. prohibited do notapply voltage or current into the led device that exceeds the device?s absolute maximum rating. with resin-packaged led devices in particular, excessive voltage or current may cause the package resin to explode, scattering resin fragments and may result injury. instructions when evaluating and testing the dielectric strength voltage of a photocoupler, use equipment that can shut off the supply voltage if a leakage current exceeding 100 a is detected. failure to do so may result in the continuous flow of a large short-circuit current, causing the device to explode or combust, resulting in fire or injury. instructions when designing a semiconductor laser, use the built-in or another light-receiving element to stabilize optical output so as to ensure that laser beams exceeding the laser?s rated optical output are not emitted. if this stabilization function does not work properly and the rated output is exceeded, not only the device may break down but also injury may result by the laser beam. 2.2.2 power device prohibited do not touch a power device while it is on or after it has been turned off and all remaining electrical charge has not yet been discharged. touching power element with electrical charge may cause electric shock, resulting in death or serious injury. instructions when evaluating, inspecting or testing a device, be sure to connect all of the test equipment?s electrodes or probes before turning the power on. when you have finished, discharge any electrical charge remaining in the device. connecting the electrodes or probes with the power on may cause electric shock, resulting in death or serious injury.
handling precautions 6 prohibited do not use a device under conditions that exceed its absolute maximum ratings (such as current, voltage, safe operation range, temperature.). using device with exceeded its absolute maximum ratings may cause the device to break down, causing a short-circuit current, which may in turn cause it to explode or combust, resulting in fire or injury. instructions use a unit which can detect short-circuit currents and shut off the power supply if a short-circuit occurs. if the power supply is not shut off, a large short-circuit current will flow continuously, causing the device to explode or combust, resulting in fire or injury. instructions design the case for enclosing your system taking into consideration the prevention of flying debris in the event the device explodes or combusts. flying debris may cause injury. instructions when conducting an evaluation, inspection or test, use protective safety tools such as device covers. the device may explode or combust due to excessive stress in the event of breakdown or arc discharge between the electrode and ground potential, resulting in fire or injury. instructions design your product so that it is used with all metal areas (other than electrodes and terminals) grounded. even with products where the device?s electrodes and metal casings are insulated, electrostatic capacitance in the product may cause the electrostatic potential in the casing to rise. insulation deterioration or breakdown may cause a high voltage to be applied to the casing, causing electric shock when touched, resulting in death or serious injury. instructions when designing the heat radiation and safety features of a system incorporating schottky barrier diodes and high-speed rectifiers, take into consideration the device?s forward and reverse losses. the reverse current in these devices is greater than that in ordinary rectifiers. if the operating environment is severe (such as high temperature, high voltage), the device?s reverse loss may increase, causing a short-circuit current and subsequently explosion or combustion, resulting in fire or injury. instructions be sure to design the product so that, except when the main circuits of the device are active, the main circuits will be inactive when electricity is conducted to control circuits. malfunction of the device may cause a serious accident or injury. instructions when conducting an evaluation, inspection or test, either wear protective gloves or wait until the device cools down prior to handling. devices become hot when operated. even after the power supply has been turned off, residual heat may cause a burn when the device is touched.
handling precautions 7 2.2.3 application specific standard products and general-purpose linear ics instructions use an appropriate power supply fuse to ensure that a large current does not continuously flow in case of over current and/or ic failure. the ic will fully break down when used under conditions that exceed its absolute maximum ratings, when the wiring is routed improperly or when an abnormal pulse noise occurs from the wiring or load, causing a large current to continuously flow and the breakdown can lead smoke or ignition. to minimize the effects of the flow of a large current in case of breakdown, appropriate settings, such as fuse capacity, fusing time and insertion circuit location, are required. instructions if your design includes an inductive load such as a motor coil, incorporate a protection circuit into the design to prevent device malfunction or breakdown caused by the current resulting from the inrush current at power on or the negative current resulting from the back electromotive force at power off. for details on how to connect a protection circuit such as a current limiting resistor or back electromotive force adsorption diode, refer to individual ic datasheets or the ic databook. ic breakdown may cause injury, smoke or ignition. instructions use a stable power supply with ics with built-in protection functions. if the power supply is unstable, the protection function may not operate, causing ic breakdown. ic breakdown may cause injury, smoke or ignition. instructions carefully select external components (such as inputs and negative feedback capacitors) and load components (such as speakers), for example, power amp and regulator. if there is a large amount of leakage current such as input or negative feedback condenser, the ic output dc voltage will increase. if this output voltage is connected to a speaker with low input withstand voltage, over current or ic failure can cause smoke or ignition. (the over current can cause smoke or ignition from the ic itself.) in particular, please pay attention when using a bridge tied load (btl) connection type ic that inputs output dc voltage to a speaker directly.
handling precautions 8 2.2.4 memory card products prohibited keep out of reach of small children. accidental swallowing may cause suffocation or injury. contact a doctor immediately if you suspect a child has swallowed the product. prohibited do not directly touch the interface pins, put them in contact with metal, strike them with hard objects, or cause them to short. do not expose to static electricity. prohibited do not bend, apply strong force to, drop, expose to strong impact or lay heavy objects on top of the product. prohibited do not put the product in the back pocket, etc. of trousers. it may break when you sit down or exert strong force on it in other ways. prohibited do not disassemble or modify the product. this may cause electric shock, damage to the product, or fire. prohibited do not expose the product to moisture. do not use, store, or place in humid locations or expose to water. do not expose the product to excessive heat or cold. do not use, store, or place in direct sunlight, inside a hot car, near fire or sources of heat or flame, such as a stove. do not use, store, or place the product near an air-conditioner outlet. do not expose the product to dust, strong magnetic fields, or corrosive chemicals or gas. prohibited avoid sudden temperature changes which could cause condensation.
handling precautions 9 prohibited (applicable only to mini sd) while writing data to or reading data from the product, do not turn off the power, remove the product or the minisd adapter from the device, or permit the product or device to be shaken or impacted. prohibited (applicable only to mini sd) do not insert, remove or change the product while the minisd adapter is still inserted into a device. this may cause product failure or the destruction or loss of data. prohibited (applicable only to mini sd) do not insert into a device the minisd adapter that does not contain the product. this may cause improper function on the device. prohibited (applicable only to mini sd) do not insert into the minisd adapter a memory card other than the product or other foreign object. this may cause product failure. prohibited while writing data to or reading data from the product, do not turn off the power, remove the product from the device, or permit the product or device to be shaken or impacted. prohibited (applicable only to usb flash memory) performing the following operations with the product connected to your pc may cause improper function on your pc. - booting - rebooting - resuming from standby or suspended mode please perform these operations after removing the product from your computer. instructions the product comes pre-formatted. when formatting, all data stored in the product will be lost, so make sure to back up the data on the product. please use functions relevant to the product. (applicable to sd, mini sd and compact flash) formatting with other devices, for example pcs, may cause problems such as the inability to read, write, or delete data. instructions (applicable only to usb flash memory) please take note of the following when formatting the product using windows xp or windows 2000. log in as a user with administrator access rights. the product cannot be formatted while logged in as a user with limited access rights. format the product as fat. it may not format properly as ntfs or fat32. instructions when removing the product from the slot or the usb port of a pc, first follow the removal (stop) procedure for your operating system.
handling precautions 10 instructions refer to your device?s manual to learn how to insert and remove the product. instructions insert the product firmly in the correct orientation. the product will not operate correctly if it is inserted in an incorrect orientation or not inserted all the way. instructions always use the product with the interface pins and connector in a clean state. when cleaning the product, use a soft, dry cloth. instructions (applicable only to minisd) always use the minisd adapter when using the product with a standard sd memory card device. directly inserting the product into a standard sd memory card device may cause improper function on the device.
handling precautions 11 3. general safety precautions and usage considerations this section provides information that will help you gain a better understanding of semiconductor devices so as to ensure device safety, quality and reliability. 3.1 from incoming to shipping 3.1.1 electrostatic discharge (esd) when handling individual devices, be sure that the environment is protected against static electricity. operators should wear anti-static clothing. in addition, containers and other objects that come in direct contact with devices should be made of materials that do not produce static electricity that would cause damage. please follow the precautions below. this is particularly important for those devices marked ?be careful of static.? 3.1.1.1 work environment control (1) when humidity decreases, static electricity readily occu rs due to friction. taking into consideration the fact that moisture-proof-packed products absorb moisture after unpacking, the recommended humidity is 40 to 60%. (2) be sure that all equipment such as jigs and tools installed in the work area are grounded. (3) place a conductive mat over the floor of the work area or take other measure to ensure that the floor is protected against static electricity and is grounded to the earth. (resistance between surface and ground: 1 x 10 9 ? or less) (4) place a conductive mat over the surface of worktables to ensure that the tables are grounded. (resistance between surface and ground: 7.5 x 10 5 to 1 x 10 9 ? ) do not construct worktable surfaces of metallic materials. metallic materials are low in resistance, allowing rapid discharge when a charged device comes in contact with them directly. (5) observe the following when using automated equipment: (a) when picking up a device with a vacuum, use conductive rubber in sections which come into contact with the device surface to protect against electrostatic charge. (b) avoid friction on the device surface to the extent possible. if rubbing is unavoidable due to the device?s mechanical structure, minimize the friction plane or use material with a small friction coefficient and low electrical resistance. also, prevent electrostatic charge by using an ionizer. (c) use a material which dissipates static electricity in sections which come in contact with device leads or terminals. (d) ensure that no statically charged bodies (such as work clothes or the human body) come in contact with the devices. (e) use a tape carrier that employs a low-resistance material on sections that come in contact with
handling precautions 12 electrical machinery. (f) make sure that jigs and tools used in the manufacturing process do not touch the devices. (g) in processing associated with package electrostati c charge, use an ionizer to neutralize the ions in the ambient environment. (6) make sure that crt displays in the work area are protected against static charge by employing a filter, for example. avoid turning displays on and off to the extent possible. neglecting to do so can cause electrostatic induction in devices. (7) periodically measure the charged potential of devices, systems and fixtures located in the work area to ensure that the area is free of any charge. (8) ensure that the work chairs are protected by a conductive cover and grounded to the floor by conductive castors. (resistance between seat surface and ground: 1 x 10 10 : or less) (9) install anti-static mats on storage shelf surfaces and ground the mat surface. (resistance between surface and ground: 7.5 x 10 5 to 1 x 10 9 : ) (10) for device transport and temporary storage, use containers (boxes, jigs or bags) that are made of a material which does not produce static electricity that could damage the device. (11) make sure that cart surfaces which come in contact with product packaging are made of materials which conduct static electricity, and ground the cart surfaces to the floor surface using conductive castors. (12) in static electricity control areas, install anti-static dedicated ground wires. use a transmission line circuit ground wire [type d (previous class c) or above], or a trunk line ground wire. in addition, separate and ground the various devices individually. 3.1.1.2 work environment control (1) operators must wear anti-static clothing and conductive shoes (or a toe or heal strap). (2) operators must wear a wrist strap grounded to earth via a resistor. (resistance between surface and earth when worn: 7.5 x 10 5 to 3.5 x 10 7 : ) (3) soldering irons must be grounded from the iron tip to earth, and must be used at low voltages (6 to 24v). (4) if the tweezers you use are likely to touch the device terminals, use anti-static tweezers. do not use metallic tweezers since they are low in resistance and may cause rapid discharge when a charged device comes in contact with them. when using a vacuum tweezers, attach a conductive chucking pat to the tip, and connect it to a dedicated anti-static ground. in addition, follow the manufacturer?s methods of use and maintenance. (5) do not place devices or their containers near sources of strong electrical fields (such as above a crt). (6) place boards with mounted devices in anti-static board containers separated from one another, and do not stack them directly on top of one another. stacking th em directly on top of one another may cause frictional charge or discharge.
handling precautions 13 (7) ensure, to the extent possible, that any articles (suc h as clipboards) which are brought to a static electricity control area are constructed of anti-static materials. (8) when the human body is to come in direct contact with a device, wear anti-static finger covers or gloves. (9) the material of equipment safety covers located n ear devices should have a resistance rating of 1 x 10 9 ? or less. (10) if a wrist strap cannot be used and there is a possibility of imparting friction to devices, use an ionizer. (11) the transport film used in tape carrier products is manufactured from materials in which static electricity readily builds up. when using these products, use an ionizer to prevent the film from being charged. also, to ensure than no static electricity will be applied to the copper foil area, take measures to prevent electrostatic discharge failure of peripheral equipment. 3.1.2 vibration, impact and stress handle devices and packaging with care. dropping or applying impact to devices or packaging causes device damage. ensure that devices and packaging are not subjected to mechanical vibration or impact to the extent possible. hollow canister-type devices and ceramic sealed devices contain unsecured wires, making them more susceptible to vibration and impact than plastic sealed devices. when a location such as a soldered ar ea, connecting area or top surface of a device is subjected to vibration, impact or stress in actual equipment, bonding fault or device destruction may result. therefore, be sure to keep this in mind at the time of structural design. if a device is subject to especially strong vibration, impact or stress, the package or chip may crack. if stress is applied to a semiconductor chip through the package, changes in the resistance of the chip may result due to piezoelectric eff ects, resulting in fluctuation in element characteristics. furthermore, if a stress that does not instantly result in damage is applied continually for a long period of time, product deformation may result, causing defects such as disconnection or element failure. thus, at the time of structural design, carefully consider vibration, impact and stress. 3.2 storage 3.2.1 general packaged products (1) avoid storage locations where devices may be exposed to moisture or direct sunlight. (2) follow the precautions printed on the packing label of the device for transportation and storage. (3) keep the storage location te mperature and humidity within a range of 5 c to 35 c and 45% to 75%, respectively. vibration humidity: temperature:
handling precautions 14 (4) do not store the products in locations with poisonous gases (especially corrosive gases) or in dusty conditions. (5) store the products in locations with minimal temp erature fluctuations. rapid temperature changes during storage can cause condensation, resulting in lead oxidation or corrosion, which will deteriorate the solderability of the leads. (6) when restoring devices after removal from their packing, use anti-static containers. (7) do not allow loads to be applied directly to devices while they are in storage. (8) if devices have been stored for more than two years under normal storage conditions, it is recommended that you check the leads for ease of soldering prior to use. 3.2.2 moisture-proof packing moisture-proof packing should be used while taking in to careful consideration the handling methods specified for each packing type. if the specified procedures are not followed, the quality and reliability of the devices may be deteriorated. this section describe s the general precautions for handling moisture-proof packing. since the details may differ from device to device, refer to th e individual standards or databooks during handling. 3.2.2.1 moisture-proof packing general precautions follow the precautions printed on the packin g label of the device for transportation and storage. for chip products, follow the individual specifications. (1) do not toss or drop device packing. the aluminum laminated bag may be damaged, resulting in a loss in airtightness. (2) keep the storage environment at 5 q c to 30 q c, and the relative humidity at 90% or less. use devices within 12 months of the date marked on the package seal. (3) if the 12-month storage period has been exceeded, or if the 30% humidity indicator is pink when the package is opened, remove any moisture under the conditions described in the table below. the effective usage period without moisture removal after the packin g has been opened and the product has been stored at 5 q c to 30 q c and a relative humidity of 60% is listed on the moisture-proof package. if the effective usage period has been exceeded, or if the packing has been stored in a high-humidity environment or an environment that produces condensation, remove any existing moisture.
handling precautions 15 packing type moisture removal applicability/procedure tray if the packing indicates a ?heatproof? or temperature label, bake at 125 c for 20 hours. (some devices may require a different amount of time.) if the packing does not indicate a ?heatproof? or temperature label, transfer the devices to an anti-static container that bears a ?heatproof? or temperature label and then bake. the moisture-proof package itself is not heat resistance. be sure to remove the devices from the package prior to baking. magazine transfer devices to antistatic containers bearing the ?heatproof? or temperature label, and then bake at 125 c for 20 hours. (some devices may require a different amount of time.) the moisture-proof package itself is not heat resistance. be sure to remove the devices from the package prior to baking. tape transfer devices to antistatic containers bearing the ?heatproof? or temperature label, and then bake at 125 c for 20 hours. (some devices may require a different amount of time.) the moisture-proof package itself is not heat resistance. be sure to remove the devices from the package prior to baking. (4) when removing the moisture from the devices, pr otect the devices from breakdown from static electricity. (5) moisture indicators (for your reference) moisture indicators detect the approximate ambient humidity level at a standard temperature of 25 c. figure 3.1 shows a 3-point indicator. danger if pink read at lavender between pink & blue 20 30 40 humidity indicator 3-point indicato r figure 3.1 humidity indicator (6) do not allow loads to be applied directly to devices while they are in storage.
handling precautions 16 3.3 design to achieve the reliability required by an electronic device or system, it is important not only to use the semiconductor device in accordance with specified absolu te maximum ratings and operating ranges, but also to consider the environment in which the equipment will be used, including factors such as the ambient temperature, transient noise and current surges, as well as mounting conditions which affect semiconductor device reliability. this section describes general design precautions. be sure to refer to the individual ratings of each product at the time of design. 3.3.1 absolute maximum ratings the absolute maximum ratings of a semiconducto r device are a set of ratings that must not be exceeded, even for a moment. do not exceed any of these ratings. exceeding the rating(s) may cause device breakdown, damage or deterioration, and may result injury by explosion or combustion. if the voltage or current on any pin exceeds the absolute maximum rating, the overvoltage or overcurrent causes the device?s internal circuitry to deteriorate. in extreme cases, heat generated in internal circuitry can fuse wiring or caus e the semiconductor chip to break down. if the storage or operating temperature ex ceeds the absolute maximum rating, the device internal circuitry may deteriorate and the bonded areas may open or the package airtightness may deteriorate due to the differences between the thermal expansion coefficients of the materials from which the device is constructed. although absolute maximum ratings differ from product to product, they essentiall y concern the voltage and current at each pin, the allowable power dissipation, th e connecting area temperatures, and storage temperatures. note that the term ?maximum rating? which appears in semiconductor technical datasheets and the like refers to ?absolute maximum rating.? 3.3.2 operating range the operating range is the range of conditions necessary for the device to operate as specified in individual technical datasheets and databooks. care must be exercised in the design of the equipment. if a device is used under conditions that do not exceed absolute maximum ratings but exceed the operating range, the specifications related to device operation and electrical characteristics may not be met, resulting in a decrease in reliability. if greater reliability is required, derate the device?s operating ranges for voltage, current, power and temperature before use.
handling precautions 17 3.3.3 derating the term ?derating? refers to ensuring greater device reliability by setting operating ranges reduced from rated values and taking into consideration factors such as current surges and noise. while derating generally applies to electrical stresses such as voltage, current and power, and environmental stresses such as ambient temperature and humidity, it differs from application to application. refer to the individual technical datasheets available for each product. power devices in particular require heat sink consideration as well since the level of derating greatly affects reliability. for your reference, details are provided in the ap pendix. be sure to read the appendix carefully. 3.3.4 unused pins if unused pins are left open, some devices exhibit input instability, resulting in faulty operation such as a sudden increase in current consumption. in addition, if unused output pins on a device are connected to the power supply, gnd or other output pin, the ic may malfunction or break down. since the treatment of unused input and output pins differ s for each product and pin, please follow the directions in the individual technical datasheets and databooks. cmos logic ic inputs, for example, have extremely high impedance. if an input pin is left open, it can readily pick up noise and become unstable. in this case, if the input reaches an intermediate level, both the p-channel and n-channel transistors will become conductive, allowing unnecessary power supply current to flow. it is therefore necessary to ensure that the unused input gate s of a device are connected to the power supply pin or ground (gnd) pin of the same device. for treatment of heat sink pins, refer to the individual technical datasheets and databooks. 3.3.5 latch-up semiconductor devices sometimes transition to an inherent condition referred to as ?latch-up.? this condition mainly occurs in cmos devices. this happens when a parasitic pn-pn junction (thyristor structure) built in the device itself is turned on, causing a large current to flow between the power supply voltage and gnd, eventually causing the device to break down. latch-up occurs when the voltage impressed on an input or output pin exceeds the rated value, causing a large current to flow in the internal element, or when the voltage impressed on the power supply voltage pin exceeds its rated value, forcing the internal element to breakdown. once the element falls into the latch-up state, even though the excess voltage may have been applied only for an instant, the large current continues to flow between the power supply voltage and gnd, potentially causing devi ce explosion or combustion. to avoid this problem, observe the following: (1) do not allow the voltage levels on the input and output pins to rise above the power supply voltage or decrease below gnd. consider the timing during power supply activation as well. (2) do not allow any abnormal noises to be applied to the device. (3) set the electrical potential of unused input pins to the power supply voltage or gnd. (4) do not create an output short.
handling precautions 18 3.3.6 input/output protection wired-and configurations in which outputs are connected together directly cannot be used since the outputs short-circuit with the configurations. outputs should, of course, never be connected to the power supply voltage or gnd. in addition, products with tri-state outputs ca n undergo ic deterioration if a shorted output current continues for a long period of time. design the circuit so that the tri-state outputs will not be enabled simultaneously. 3.3.7 load capacitance certain devices exhibit an increase in delay times and a large charging and discharging current if a large load capacitance is connected, resulting in noise. in addition , since outputs are shorted for a long period of time, wiring can become fused. use the load capacitance recommended for each product. 3.3.8 thermal design the failure rate of semiconduc tor devices largely increases as the operating temperatures increase. as shown in figure 3.2, the thermal stress applied to device internal circuitry is the sum of the ambient temperature and the temperature rise caused by the power consumption of th e device. for thermal design, therefore, refer to the precautions stated in individual technical datasheets and databooks. to achieve even higher reliability, take into consideration the following thermal design points: (1) conduct studies to ensure that the ambient temperatur e (ta) is maintained as low as possible, avoiding the effects of heat generation from the surrounding area. (2) if the device?s dynamic power consumption is relatively large, conduct studies regarding use of forced air-cooling, circuit board composed of low thermal resistance material, and heat sinks. such measures can lower the thermal resistance of the package. (3) derate the device?s absolute maximum ratings to minimize thermal stress from power consumption. ja = jc + ca ja = (tj ? ta)/p jc = (tj ? tc)/p ca = (tc ? ta)/p where, ja: thermal resistance between junction and ambient air (c/w) jc: thermal resistance between junction and package surface, or internal thermal resistance (c/w) ca: thermal resistance between package surface and ambient air, or external thermal resistance (c/w) tj: junction temperature or chip temperature (c) tc: package surface temperature or case temperature (c) ta: ambient temperature (c) p: power consumption (w) tc ca ta tj jc figure 3.2 thermal resistance of package
handling precautions 19 3.3.9 interfacing when connecting devices with different input and output voltage levels, make sure that the input voltage (v il /v ih ) and output voltage (v ol /v oh ) levels match. otherwise, the devices may malfunction. in addition, when connecting devices with different power supply vo ltages, such as in a dual power supply system, device breakdown may result if the power-on and power-off sequences are incorrect. for device interface details, refer to the individual technical datasheets and databooks. in addition, if you have any questions about interfacing, contact your nearest toshiba office or distributor. 3.3.10 decoupling spike currents generated during switching can cause power supply voltage and gnd voltage levels to fluctuate, causing ringing in the output waveform or a delay in the response speed. (the power supply and gnd wiring impedance is normally 50 to 100 ? .) for this reason, the impedance of the power supply lines with respect to high frequencies must be kept low. specifically, this is ideally accomplished by routing thick and short power supply and gnd lines and by inserting decoupling capacitors (of approximately 0.01 to 1 f) as high-frequency filters between the power supply and gnd into each required location on the circuit board. for low-frequency filtering, it is appropriate to insert a 10 to 100 f capacitor in each circuit board. however, conversely if the capacitance is excessively large (such as 1000 f), latch-up may result. an appropriate capacitance value is therefore required. on the other hand, in the case of high-speed logic ics, noise is caused by reflection, crosstalk or common power supply impedance. reflections cause increased signal delay, ringing, overshoot and undershoot, thereby reducing the device?s noise margin. one effective wiring measure for preventing reflections is to reduce the wiring length by increasing the mounting density so as to lower the wiring inductance (l) and capacitance (c). this measure, however, also requires consideration with regard to crosstalk between wires. in actual pattern design, both of these factors must be considered. 3.3.11 external noise when externally induced noise or surges are applied to a printed circuit board with long i/o signals or signal lines, malfunction may result, depending on the device. to protect against noise, protective measures against surges must be taken such as lowering the impedance of the signal line or inserting a noise-canceling circuit. for details of required protection, refer to in dividual technical datasheets and databooks. input/output signals
handling precautions 20 3.3.12 electromagnetic interference radio and tv reception problems have increased in recent years as a result of increased electromagnetic interference radiated from electrical and electronic equipm ent. to use radio waves effectively and to maintain the quality of radio communications, each country has defined limitations for the amount of electromagnetic interference which can be gene rated by designated devices. the types of electromagnetic interference include noise propagated through power supply and telephone lines, and noise from direct electromagnetic waves radiated from equipment. different measurement methods and corrective actions are used for each type. difficulties in countering electromagnetic interference derive from the fact that there is no means for calculating at the design stage the strength of the electromagnetic waves produced from each component in a piece of equipment. as a result, it is after the prototype equipm ent has been completed that measurements are taken using dedicated instruments to determine for the first time the strength of the electromagnetic interference. yet it is possible during system design to incorporate measures for the prevention of electromagnetic interference which can facilitate corrective action after design completion. one effective method, for example, is to design the product with several shielding options , and then select the optimum shielding method based on the results of the measurements subsequently taken. 3.3.13 peripheral circuits in many cases semiconductor devices are used with peripheral circuits and components. the input and output signal voltages and currents in these circuits must be designed to match the specifications of the device, taking into consideration the factors below. (1) input voltages and currents that are not appropriate with respect to the input pins may cause malfunction. some devices contain pull-up or pull-down resistors, depending on specifications. design your system taking into account the required voltage and current. (2) the output pins on a device have a predetermined external circuit drive capability. if a drive capability exceeding this value is required, either insert a compen sating circuit or take that fact into account when selecting components for use in external circuits 3.3.14 safety standards each country and region has established safety standa rds which must be observed. these safety standards sometimes include requirements for quality certification systems and insulation design standards. the safety standards of the respective countries and regions must be taken fully into account to ensure compliant device selection and design.
handling precautions 21 3.3.15 other (1) when designing a system, incorporate fail-safe and other measures according to system application. in addition, debug the system under actual mounting conditions. (2) if a plastic package device is placed in a strong electric field, surface leakage may occur due to charge-up, resulting in malfunction. when using such a device in a strong electric field, take measures by, for example, protecting the package surface with a conductive shield. (3) with some memory devices and microcomputers, attent ion is required at power on or reset release. to ensure that your design is device appropriate, refer to the individual technical datasheets and databooks. (4) design the casing so as to ensure that no conduc tive material (such as a metal pin) can drop from an external source onto a terminal of a mounted device, causing a short. 3.4 inspection, testing and evaluation 3.4.1 grounding check that there is no electrical leakage before grounding measuring equipment or a solder iron. electrical leakage may cause the device you ar e testing or soldering to electrically break down or may cause electric shock. 3.4.2 inspection sequence [1] do not insert devices in the wrong orientation or incorrectly. make sure that the positive and negative terminals of power supplies are connected properly. otherwise, the current or power consumption may exceed the absolute maximum rating, and exceeding the rating(s) may cause the device breakdown, damage or deterioration, and may result injury by explosion or combustion. in addition, do not use any device that is applied the current with inserting in the wrong orientat ion or incorrectly even just one time. [2] on the evaluation, inspection or test using ac power with a peak value of 42.4v or dc power exceeding 60v, be sure to connect the electrodes or probes of the testing equipment before activating the power. when you have finished, discharge any electrical charge remaining in the device. insufficient connection, wrong power-on timing or incomplete discharge may cause electric shock, resulting in injury. (1) apply voltage to the device after inserting it into the test jig. at this time, observe the power supply activation or shutdown standards, if existent. (2) after test completion, be sure that the voltage applie d to the device is off before removing the device from the test jig. removing the device with the power supply on can cause device deterioration or breakdown.
handling precautions 22 (3) make sure that no surge voltages from the measuring equipment are applied to the device. (4) the chips in tape carrier packages (tcps) are lsi chips and therefore exposed. during inspection, be careful not to crack or scratch the chip. electrical contact may also cause chip failure. ther efore make sure that nothing comes into electrical contact with the chip. 3.5 mounting there are two types of device packages: lead insertion and surface mount. the items that affect reliability during circuit board mounting include contamination by flux a nd thermal stress during the soldering process. with surface-mount devices in particular, the most significant problem is thermal stress from solder reflow, when the entire package is subjected to heat. in addition, the moun ting method differs according to factors such as chip size and frame design, even for the same package type. for details, refer to the individual technical datasheets and databooks for each device. when a location such as a soldered ar ea, connecting area or top surface of a device is subjected to vibration, impact or in actual equipment, bonding fault or device dest ruction may result. therefore, be sure to keep this in mind at the time of mounting. if a device is subject to especially strong vibration, impact or stress, the package or chip may crack. thus, at the time of mounting, carefully consider vibration, impact and stress. 3.5.1 lead forming [1] always wear safety glasses when cutting the leads of a device with clippers or a similar tool. failure to do so may result in eye damage from the small shavings that fly off the cut ends. [2] do not touch the lead tips of a device. some devices have leads withsharp tips. contact to sharp tips may result in a puncture wound. semiconductor devices sometimes undergo a process in wh ich the leads are cut and formed before the devices are installed on a printed circuit board. if abnormal stress is applied to the interior of a device during this process, mechanical breakdown or reliability deterioration may result. this is attributable mainly to the relative stress applied between the device itself and the lead, and can result in internal lead damage, adhesive property deterioration and sealant breakdown. observe the following precautions during the lead-forming process. (this does not apply to surface-mount devices.) (1) lead insertion hole intervals on the printed circuit board should be designed using the same dimension standard as that for the lead interval of the device. (2) if the lead insertion hole intervals on the printed circ uit board do not match the lead interval of the device, do not forcibly insert the device.
handling precautions 23 (3) for the minimum dimension between a device and printed circuit board, refer to the individual technical datasheets and databooks. when necessary, create space when forming the device?s leads. do not use the spacers for raising devices above the surface of the printed circuit board during soldering. these spacers may continue to expand due to heat even after the solder has solidified, sometimes applying a great amount of stress to the device. (4) observe the following when forming the leads of a device: (a) when bending a lead, secure the lead at the end of the bending section near the package to ensure that mechanical stress is not applied to the device. also, do not repeatedly bend or stretch a lead at the same location. (b) do not damage the lead during lead forming. (c) following any other precautions specified in the individual technical datasheets or databooks. 3.5.2 socket mounting (1) when socket-mounting devices on a printed circuit board, use sockets that match the package. (2) use sockets with contacts that have the appropriate contact pressure. if the contact pressure is insufficient, the contact may become poor when the device is repeat edly inserted and removed. if the contact pressure is too high, the device leads may bend or become damaged when they are inserted into or removed from the socket. (3) when soldering sockets to the printed circuit board, use sockets designed to prevent flux from penetrating the contacts and to allow flux to be completely cleaned off. (4) ensure that the coating agent applied to the printe d circuit board for moisture-proofing does not adhere to the socket contacts. (5) if the leads are severely bent when inserted into or removed from a socket and you want to repair the leads and continue using the device, repair the leads once only. do not use devices whose leads have been corrected multiple times. (6) if external vibration will be applied to a printed circuit board with devices mounted on it, use sockets with strong contact pressure so as to prevent vibration between the devices and sockets. 3.5.3 lead(pb)-free / lead(pb)-free finish* soldering temperature profile perform soldering following the methods and conditions described in the individual technical datasheets and databooks for the device used. the soldering method, temper ature and time may be restricted, depending on the device. all soldering temperature profiles and cond itions described in the mounting methods below are representative. the profiles and conditions vary from product to product. therefore, mount the product after first confirming the information described in the individual technical datasheets and databooks with the customer. for details regarding lead(pb) soldering, please contact your nearest toshiba office or distributor. *toshiba semiconductor company defines capitalized ?lead(pb)-free? products as those containing no more than 0.1 percent lead(p b) by weight in homogeneous materials. this does not mean that toshiba semiconductor products labeled "lead(pb)-free" are entirely fr ee of
handling precautions 24 lead(pb). in addition to lead(pb)-free, toshiba semiconductor company will offer products that have lead(pb)-free terminals, wh ich will be referred to as ?lead(pb)-free finish.? the lead(pb)-free finish products may contain greater than 0.1 percent lead(pb) by we ight in homogeneous materials in portions of the product other than the terminals (based on the exemption(s) in the rohs directive), fo r example, in internal solder used to connect the semiconductor silicon to the package. this does not mean that toshiba semiconductor prod ucts that are labeled "lead(pb)-free finish" have terminals that are entirely free of lead(pb). furthermore, the expressions ?lead(pb)-free? and ?lead(pb)-free finish? will be changed in package labeling as the like below from april 2006. <> [lead (pb)-free products]: lead (pb)-free -> [[g]]/rohs compatible [lead (pb)-free finish products]: lead(pb)-free finish -> [[g]]/rohs [[pb]] 3.5.3.1 using a soldering iron complete soldering within 10 seconds for lead temperatures of up to 260 c, or within 3 seconds for lead temperatures of up to 350 c. 3.5.3.2 using infrared reflow (1) it is recommended the top and bottom heating method with long or medium infrared rays. (see figure 3.3.) long infrared ray heater (preheater) medium infrared ray heater (reflow heater) device flow figure 3.3 top and bottom heating method with long or medium infrared rays (2) complete the infrared ray reflow process with a maximum package surface temperature of 260 c, within 30 to 50 seconds when a package surface temperature is 230 c or higher. (3) refer to figure 3.4 for an example of a temperature profile. 230 30 to 50 seconds (seconds) time 60 to 120 seconds (c) 260 190 180 package surface tempature figure 3.4 example of temperature profile
handling precautions 25 this profile is based on the device?s ma ximum heat resistance guaranteed value. set the preheat temperature/heating temperature to the optimum temperature corresponding to the solder paste type used by the customer within the above-described profile. 3.5.3.3 using hot air reflow (1) complete hot air reflow with a maximum package surface temperature of 260 c, within 30 to 50 seconds when a package surface temperature is 230 c or higher. (2) for an example of a temperature profile, refer to figure 3.4 in section 3.5.3.2 (3) above. 3.5.3.4 using solder flow/dip (1) apply preheating for 60 to 120 seconds at a temperature of 150 c. (2) for lead insertion-type packages, mount the device within 10 seconds of solder flow with a maximum temperature of 260 c at the stopper or at a location more than 1.5mm from the body. (3) for surface-mount packages, mount the device within 5 seconds at a temperature of 250 c or less in order to avoid thermal stress. (4) figure 3.5 shows an example of the temperature profile of solder flow for a surface-mount package. within 5 seconds (seconds) time 60 to 120 seconds (c) 250 160 140 package surface temperature figure 3.5 example of surface-m ount package temperature profile this profile is based on the device?s ma ximum heat resistance guaranteed value. set the preheat temperature/heating te mperature to the optimum temperature corresponding to the solder paste type used by the customer within the above-described profile. 3.5.4 flux cleaning (1) when cleaning circuit boards to remove flux, make sure that no reactive ions such as sodium or chlorine remain. some organic solvents react with water to generate hydrogen chloride and other corrosive gases which can result in device deterioration. (2) when washing devices with water, make sure that no reactive ions such as sodium or chlorine remain particularly.
handling precautions 26 (3) when washing devices, do not rub markings with a brush or with your hand while the cleansing liquid is still on the device. doing so can rub off the markings. (4) dip cleaning, shower cleaning and steam cleaning processes are performed based on the chemical action of a solvent. when immersing devices in a solvent or steam bath, complete the cleaning for a period of one minute or less at a liquid temperature of 50 c or less, taking into consideration the effects on the devices. (5) avoid use of ultrasonic cleaning with hermetically sealed ceramic packages such as a leadless chip carrier (lcc), pin grid array (pga) or charge-coupled device (ccd). using the ultrasonic cleaning may cause the internal wires to become disconnected due to res onance. even if a device package allows ultrasonic cleaning, keep the duration of ultrasonic cleaning in a brief time. long hours of ultrasonic cleaning may deteriorate the adhesion between the mold resin and frame material. the basic recommended conditions are as follows: recommended ultrasonic cleaning conditions frequency: 27 to 29khz ultrasonic output: 15w/l or less cleaning time: 30 seconds or less suspend the printed circuit board in th e solvent bath to ensure that the circuit board and device do not come in direct contact with the ultrasonic vibrator. 3.5.5 no cleaning it is recommended that you clean analog devices and high-speed devices. if such devices are not cleaned, flux may cause minute leakage between leads or migration, depending on the flux grade. be sure therefore to check cleanliness at the time of use. if you are considering no cleaning, be sure to use a flux that does not require cleaning. 3.5.6 tape carrier packages (tcps) mounting (1) when tape carrier packages are mounted, measures must be taken to prevent electrostatic breakdown of the devices. (2) when separating devi ces from tape, or carrying out outer lead bonding (olb) mounting, be sure to take work safety into consideration. (3) the base film, which is made of polyimide, is hard and thin. be careful not to injury yourself or damage any objects during handling. (4) when punching tape, take countermeasures to pr event minute broken pieces from scattering. scattered pieces may cause injury. (5) appropriately treat the tape, reels and spacers left after separating the device as industrial waste.
handling precautions 27 (6) with tape carrier package (tcps) devices, the backside of the lsi chips is exposed. to ensure that the chip will not crack, mount the device so that mechanical shock is not applied to the lsi backside. in addition, electrical contact may also cause lsi failure. mount the device so that there is no electrical contact with the backside of the lsi chip. if you are mounting the backside of the lsi chip to improve device characteristics, please contact your nearest toshiba office or distributor in advance. 3.5.7 chips mounting devices delivered in chip form readily deteriorate or become damaged due to external factors in comparison with plastic-packaged products. attentio n is therefore required during handling. (1) mount devices in a properly maintained environment so that the chip will not be exposed to contaminated ambient air or other substances. (2) when handling chips, be careful not to expose the chips to static electricity. in particular, measures must be taken to prevent electrostatic breakdown during chip mounting. for this purpose, it is recommended that you mount all peripheral devices before you mount the chips. (3) use chip mounting circuit boards (such as pcbs) that do not have any chemical residue on them (such as the chemicals used during pcb etching). (4) when mounting chips, use the method of assembly that is most suitable for achieving the appropriate electrical, thermal and mechanical characteristics of the semiconductor product used. * for chip details, refer to the relevant specification sheet. 3.5.8 circuit board coating when using devices that require high reliability or de vices used under extreme environments (where moisture, corrosive gas or dust is present), circuit boards are sometim es coated with a moisture-proof coating. when using a coating resin, choose the coating resin which results in minimal stress to the device. 3.5.9 heat sinks (1) when installing a heat sink to a device, use the specified accessories. in addition, be careful not to apply excessive force to the device during installation. (2) when installing a device to a heat sink by fixing it in two or more locations, do not tighten one location to the specified torque while the rest are left not tightened. rather, lightly tighten all locations evenly first and tighten all locations to the specified torque by rotation. (3) drill screw holes in the heat since as specified, and smooth the surface of the device installation area by removing burrs and protrusions or indentations. (4) thinly applying silicone grease between the heat sink makes device better to improve heat conductivity compared with no grease. if you choose to apply the silicone grease, use a non-volatile type. volatile type silicone grease can cause
handling precautions 28 cracks over time, resulting in the deteri oration of the heat radiation effect. (5) with plastic-packaged devices, the base oil of so me silicone grease compounds penetrates the package interior, significantly reducing the lifetime of the device. we ask therefore that you use the recommended silicon grease yg6260 from ge toshiba silicone. if you choose to use another product, select one that is equivalent to the toshiba silicone product. (6) during device operation, heat sinks become very hot. be careful not to touch them. a burn may result. 3.5.10 tightening torque (1) tighten screws to a tightening torque that is within the specified values described in the individual technical datasheets and databooks for the device used. (2) be careful not to allow a pneumatic screwdriver to come in contact with devices. device damage may result. 3.5.11 repeated device mounting and usage do not remount or reuse devices that have histories su ch as that described below. these devices may cause significant problems with regard to device characteristics and reliability. (1) devices that have been removed from the board after soldering. (2) devices that have been inserted in the wrong orientation or with reverse polarity and charged. (3) devices that have undergone lead forming more than once. 3.6 operating environment 3.6.1 temperature semiconductor devices are generally mo re sensitive to temperature than other electromechanical parts. the various electrical characteristics of a semiconductor device are restricted by the operating temperature. it is therefore necessary to understand the temperature charac teristics of a device and incorporate derating into the device design in advance. when a device is used at a temperature outside the specified operating range, electrical characteristics will not be realized and device deterioration will occur more rapidly. 3.6.2 humidity plastic package devices are sometimes not completely sealed. when these devices are used for an extended period of time under high humidity, moisture can seep into the device and cause semiconductor chip deterioration or failure. furthermore, when devices are mounted on a regular printed circuit board, the impedance between wiring can decrease under high humidity. in systems with a high signal-source impedance, circuit board leakage or leakage between device leads can cause malfunction. in such a case, moisture-proof treatment to the device su rface should be considered. on the other hand, operation under low humidity can damage a device due to the occurrenc e of electrostatic discharge. unless moisture-proof treatments have been specifically taken, use devices within the humidity range of 40 to 60%.
handling precautions 29 3.6.3 corrosive gases devices react to corrosive gases may cause deteriorating device characteristics. for example, consideration must be given to lead corrosion and leakage between leads caused by the chemical reaction that occurs when a device is placed near a rubber product. the reason is that the rubber product will not only produce condensation but also generate sulfur-bearing corrosive gases under high-humidity conditions. 3.6.4 radioactive and cosmic rays standard devices are not designed with protection against radioactive and cosmic rays. devices must therefore be shielded if the device will be used in environments that may result in exposure to radioactive or cosmic rays above the levels that exist in the natural environment. 3.6.5 strong electrical and magnetic fields devices exposed to magnetic fields can undergo a polarizat ion phenomenon in the plastic material or within the ic chip, which gives rise to abnormal conditions su ch as impedance changes or leak current increases. malfunctions have been reported in lsis mounted near television deflection yokes. in such cases, the device installation location must be changed or the device must be shielded against the electrical or magnetic field. shielding against magnetism is especially required in an alternating magnetic field due to the electromotive forces generated. 3.6.6 interference from light (such as ultraviolet rays, sunlight, fluorescent lamps, incandescent lamps) light striking a semiconductor device generates electromo tive force due to photoelectric effects, sometimes causing malfunction. devices in which the chip is visible through the package are especially affected by such light. when designing the circuits, make sure that the devices are protected against light interference. not just optical semiconductor devices, but all types of devices are affected by light. 3.6.7 dust and oil similar to corrosive gases, dust and oil cause chemical reactions in semiconductor products, sometimes adversely affecting product characteristics. be sure to use semiconductor products in an environment that will not result in dust or oil adhesion. solvent and oil contained in heat release sheets similarly may result in semiconductor product quality deterioration, characteristic deterioration or disconnection. be sure to use such products with care.
handling precautions 30 3.6.8 smoke and ignition semiconductor devices and modularized devices are not noncombustible; they can emit smoke or ignite when excessive current or failure occurs. when this happens, poisonous gases may be produced. be sure to develop a safe design that protects the device from excessive current so as to ensure excessive current does not flow within the device during operation or at the time of failure. to prevent the propagation of fire caused by a smoking or ignited toshiba product and to ensure that toshiba products do not emit smoke or ignite due to surrounding conditions, do not use toshiba products in close proximity to combustible thing, heat-generating thing, igniting materials or flammable materials. 3.7 disposal each country and region has laws and regulations for the proper disposal of devices and packing materials. be sure to follow these laws and regulations at the time of disposal.
handling precautions 31 4. precautions and usage considerations specific to each product group this section describes the matters specific to each product group which need to be taken into consideration. the precautions described in this section take pr ecedence over those described in section 3, ? general safety precautions and usage considerations. ? 4.1 microcomputers 4.1.1 design (1) use of crystal oscillators other than those recommended for components such as crystal oscillators that are us ed in the oscillation circuit of microcomputer products, use the components with usage conditions described in the individual technical datasheets and databooks. if you plan on using components with usage conditi ons other than those recommended, contact the our engineering department stated in the individual technical datasheet or databook, or consult with the oscillator manufacturer. (2) undefined functions microcomputer products have commands that are not individually defined for each product (i.e., undefined commands). these products also similarly have undefined functions (for instance, bits to which functions are not assigned in the register). refer to the product?s individual technical datasheets and databooks and do not use the undefined commands and undefined functions.
handling precautions 32
tmpr4955b/TMPR4955C rev. 1.1

chapter 1 introduction 1-1 1. introduction 1.1 overview the tmpr4955b and the TMPR4955C (to be called ?tx4955? hereinafter) is a standard microcontroller of 64-bit risc microprocessor tx49 family. the tmpr4955b uses the tx49/h3 processor core , and the TMPR4955C uses the tx49/h4 processor core as the cpus. the tx49/h3 and the tx49/h4 processor cores are 64-bit risc cpu cores toshiba developed based on the r4000 architecture of mips technologies, inc (?mips?). tx4955 supports 32-bit sysad bus interface which have multiplexed address and data between the processor and an external agent 1.2 notation used in this manual 1.2.1 numerical notation ? hexadecimal numbers in this manual are expressed as follows:0x2a (example shown for decimal number 42) kb (kilobyte) 2 10 = 1,024 bytes mb (megabyte) 2 20 = 1,024 1,024 = 1,048,576 bytes gb (gigabyte) 2 30 = 1,024 1,024 1,024 = 1,073,741,824 bytes 1.2.2 data notation ? byte: eight bits ? half word: two contiguous bytes (16 bits) ? word: four contiguous bytes (32 bits) ?w? may be used for a word data. ? double word: eight contiguous bytes (64 bits) ?d? may be used for a double word data. 1.2.3 signal notation ? active-low signals are indicated by adding an aste risk(*) at the end of the signal name (example: reset*) ? when a signal is driven to the active voltage level, the signal is said to be ?asserted.? when the signal is driven to an inactive voltage level, it is said to be ?deasserted.? 1.2.4 register notation ? the following nomenclature is used for access attributes. r: read only. cannot be written. w: write only. the bit value is undefined if read. r/w: read/write r4000/r4300/r5000 are a trademark of mips technologies, inc.
chapter 1 introduction 1-2
chapter 2 features 2-1 2. features ? tx49/h3 processor core and tx49/h4 processor core tx49/h3 processor core and tx49/h4 processor core are 64-bit risc cpu cores toshiba developed based on the architecture of mips for interactive consumer applications including printer, network and set-top terminals. ? internal bus width is 64-bit, external bus width is 32-bit. core and cache are connected with 64-bit internal bus. external bus is 32-bit sysad-bus i/f with multiplexed address and data. this interface is comp atible with the r4300, and r5000 system interfaces. ? power management product name internal supply voltage external supply voltage (i/o) tmpr4955b 1.5 v 3.3 v TMPR4955C 1.25 v 3.3 v or 2.5 v the tx4955 supports power management mode (halt, doze) ? maximum operating frequency the sysad-bus i/f and processor?s maximum operating frequency is set by external pin (divmode[2:0]). div mode[2:0] ec-bit clock ratio supplementation 000 010 1:4 001 111 1:2.5 010 000 1:2 011 001 1:3 100 100 1:3.5 TMPR4955C only 101 101 1:4.5 110 110 1:5 111 011 1:6 TMPR4955C only ? package 160-pin qfp ? part number tmpr4955bfg-200: maximum internal operating frequency = 200 mhz tmpr4955bfg-300: maximum internal operating frequency = 300 mhz TMPR4955Cfg-400: maximum internal operating frequency = 400 mhz (ask your nearest toshiba sales representative for the latest part number.)
chapter 2 features 2-2 2.1 block diagram 32-bit cg (pll) synchronize interrupt / reset tx49/h3 core / tx49/h4 core sys ad interface 32 kb 4 -way set instruction cache 32 kb 4 -way set data cache write buffer integer unit system control coprocessor (cp0) gpr data path mac pipeline control cp0 registers mmu / tlb exception unit tx4955 ejtag interface jtag (bsr) masterclock cache controller dsu (ejtag) floating - point fp registers data path coprocessor (cp1) pipeline control sysad bus figure 2.1.1 tx4955 block diagram
chapter 2 features 2-3 2.2 pin description 2.2.1 tmpr4955b/TMPR4955C pin out (160-pin qfp) table 2.2.1 shows the relation between system interface operation modes and pin settings. tx4955 has two types of system interface. one is for r5000 type protocol , other is for r4300 type protocol. table 2.2.1 relationship between system interface operation mode and pin setting pin setting system interface operation mode mode43 * =0 r4300 type protocol mode43 * =1 r5000 type protocol see the chapter 11 about system interface in detail. table 2.2.2 shows the tmpr4955b pin assignment and table 2.2.3 shows TMPR4955C pin assignment. in the following tables, signal names surrounded by () mean the signal name in the case of r4300 type protocol selected, and ?*? means the signal is the low-active
chapter 2 features 2-4 table 2.2.2 tmpr4955b pin assginment pin no. signal name pin no. signal name pin no. signal name pin no. signal name 1 vss 41 vss 81 vccint 121 sysad28 2 bufsel1 42 trst * 82 nmi * 122 sysad29 3 jtdo 43 rdrdy * / (gnd) 83 extrqst * / (ereq * ) 123 vccint 4 jtdi 44 wrrdy * / (eok * ) 84 reset * 124 vss 5 jtck 45 validin * / (evalid * ) 85 coldreset * 125 sysad30 6 jtms 46 validout * / (pvalid * ) 86 vccio 126 vccio 7 vccio 47 release * / (pmaster * ) 87 endian 127 vss 8 vss 48 vccio 88 vccio 128 sysad31 9 sysad4 49 pllreset * 89 vss 129 sysadc2 / (gnd) 10 sysad5 50 vccint 90 sysad16 130 vccint 11 vccint 51 tintdis 91 vccint 131 vss 12 vss 52 vss 92 vss 132 sysadc3 / (gnd) 13 sysad6 53 syscmd0 93 sysad17 133 vccio 14 vccio 54 syscmd1 94 sysad18 134 vss 15 vss 55 syscmd2 95 vccio 135 sysadc0 / (gnd) 16 sysad7 56 syscmd3 96 vss 136 vccint 17 sysad8 57 syscmd4 97 sysad19 137 vss 18 vccint 58 syscmd5 / (gnd) 98 vccint 138 sysadc1 / (gnd) 19 vss 59 vccio 99 vss 139 sysad0 20 sysad9 60 vss 100 sysad20 140 vccio 21 vccio 61 syscmd6 / (gnd) 101 sysad21 141 vss 22 vss 62 syscmd7 / (gnd) 102 vccio 142 sysad1 23 sysad10 63 syscmd8 / (gnd) 103 vss 143 sysad2 24 sysad11 64 syscmdp / (gnd) 104 sysad22 144 vccint 25 vccint 65 vccint 105 vccint 145 vss 26 vss 66 vss 106 vss 146 sysad3 27 sysad12 67 vccio 107 sysad23 147 pcst8 28 vccio 68 halt/doze 108 sysad24 148 pcst7 29 vss 69 int0 * 109 vccio 149 pcst6 30 sysad13 70 int1 * 110 vss 150 pcst5 31 sysad14 71 int2 * 111 sysad25 151 pcst4 32 vccint 72 int3 * 112 vccint 152 vccio 33 vss 73 int4 * 113 vss 153 vss 34 sysad15 74 int5 * 114 sysad26 154 vccio 35 bufsel0 75 vccio 115 sysad27 155 vsspll 36 pcst3 76 vss 116 vccio 156 divmode2 37 pcst2 77 tpc3 117 mode43 * 157 vccpll 38 pcst1 78 tpc2 118 divmode1 158 vss 39 pcst0 79 tpc1 119 divmode0 159 masterclock 40 vccio 80 dclk 120 vss 160 vccio
chapter 2 features 2-5 table 2.2.3 TMPR4955C pin assginment pin no. signal name pin no. signal name pin no. signal name pin no. signal name 1 vss 41 vss 81 vccint 121 sysad28 2 bufsel 42 trst * 82 nmi * 122 sysad29 3 jtdo 43 rdrdy * / (gnd) 83 extrqst * / (ereq * ) 123 vccint 4 jtdi 44 wrrdy * / (eok * ) 84 reset * 124 vss 5 jtck 45 validin * / (evalid * ) 85 coldreset * 125 sysad30 6 jtms 46 validout * / (pvalid * ) 86 vccio 126 vccio 7 vccio 47 release * / (pmaster * ) 87 endian 127 vss 8 vss 48 vccio 88 vccio 128 sysad31 9 sysad4 49 pllreset * 89 vss 129 sysadc2 / (gnd) 10 sysad5 50 vccint 90 sysad16 130 vccint 11 vccint 51 tintdis 91 vccint 131 vss 12 vss 52 vss 92 vss 132 sysadc3 / (gnd) 13 sysad6 53 syscmd0 93 sysad17 133 vccio 14 vccio 54 syscmd1 94 sysad18 134 vss 15 vss 55 syscmd2 95 vccio 135 sysadc0 / (gnd) 16 sysad7 56 syscmd3 96 vss 136 vccint 17 sysad8 57 syscmd4 97 sysad19 137 vss 18 vccint 58 syscmd5 / (gnd) 98 vccint 138 sysadc1 / (gnd) 19 vss 59 vccio 99 vss 139 sysad0 20 sysad9 60 vss 100 sysad20 140 vccio 21 vccio 61 syscmd6 / (gnd) 101 sysad21 141 vss 22 vss 62 syscmd7 / (gnd) 102 vccio 142 sysad1 23 sysad10 63 syscmd8 / (gnd) 103 vss 143 sysad2 24 sysad11 64 syscmdp / (gnd) 104 sysad22 144 vccint 25 vccint 65 vccint 105 vccint 145 vss 26 vss 66 vss 106 vss 146 sysad3 27 sysad12 67 vccio 107 sysad23 147 pcst8 28 vccio 68 halt/doze 108 sysad24 148 pcst7 29 vss 69 int0 * 109 vccio 149 pcst6 30 sysad13 70 int1 * 110 vss 150 pcst5 31 sysad14 71 int2 * 111 sysad25 151 pcst4 32 vccint 72 int3 * 112 vccint 152 vccio 33 vss 73 int4 * 113 vss 153 vss 34 sysad15 74 int5 * 114 sysad26 154 vccio 35 vccio 75 vccio 115 sysad27 155 vsspll 36 pcst3 76 vss 116 vccio 156 divmode2 37 pcst2 77 tpc3 117 mode43 * 157 vccpll 38 pcst1 78 tpc2 118 divmode1 158 vss 39 pcst0 79 tpc1 119 divmode0 159 masterclock 40 vccio 80 dclk 120 vss 160 vccio
chapter 2 features 2-6 2.2.2 tx4955 pin description table 2.2.4 system interface (when r5000 type protocol mode (mode43 * = 1)) signal name i/o function sysad[31:0] i/o system address/data bus a 32-bit address and data bus for communication between the processor and an external agent. syscmd[8:0] i/o system command/data identifier bus a 9-bit bus for command and data identifier transmission between the processor and an external agent. sysadc[3:0] i/o system command/data check bus a 4-bit bus containing parity check bits for the sysad bus during data cycle. syscmdp i/o reserved for system command/data identifier bus parity this signal is unused for tx4955. 0 is driven for output. please put a pull-down or pull-up resistor for input on the board. validin * i valid input the external agent asserts validln * when it is driving a valid address or data on the sysad bus and valid command or data identifier on the syscmd bus. validout * o valid output the processor asserts validout * when it is driving a valid address or data on the sysad bus and a valid command or data identifier on the syscmd bus. extrqst * i external request an external agent asserts extrqst * to request use of the system interface. release * o release interface the processor asserts this signal when the system interface is released to the slave state. wrrdy * i write ready this signal should be asserted when an external agent accepts a processor write request. rdrdy * i read ready (with pull-down resistor). this signal should be asserted when an external agent accepts a processor read request.
chapter 2 features 2-7 table 2.2.5 system interface (when r4300 type protocol mode (mode43 * = 0)) signal name i/o function sysad[31:0] i/o system address/data bus a 32-bit address and data bus for communication between the processor and an external agent. syscmd[8:5] i/o these pins are driven to 0 at both address output and data input cycles, and then can be left open on the board. syscmd[4:0] i/o system command/data identifier bus a 5-bit bus for command and data identifier transmission between the processor and an external agent. sysadc[3:0] i/o these pins are driven to 0 at both address output and data input cycles, and then can be left open on the board. syscmdp i/o this pin is driven to 0 at both address output and data input cycles, and then can be left open on the board evalid * i external agent valid input this signal should be asserted when an external agent is driving a valid address or valid data on the sysad bus, and a valid command or data identifier on the syscmd bus. pvalid * o processor valid output the processor asserts this signal when the processor is driving a valid address or valid data on the sysad bus, and a valid command or data identifier on the syscmd bus. ereq * i external request this signal should be asserted when an external agent is requesting system interface bus ownership. pmaster * o processor master the processor asserts this signal when the processor is a bus master. eok * i external agent ready this signal should be asserted when an external agent accepts a processor request. rdrdy * i this signal has a pull-down resistor in the internal of the processor, and then this signal can be left open on the board.
chapter 2 features 2-8 table 2.2.6 clock/control interface signal name i/o function masterclock i master clock master clock input that establishes the processor operating frequency. divmode[2:0] i set the operational frequency of the system interface set the clock ratio between masterclock and internal clock (cpuclk). * ec-bit indicates the bit filed of config register in cp0. divmode[2:0] ec-bit clock ratio (masterclock:cpuclk) 000 010 1:4 001 111 1:2.5 010 000 1:2 011 001 1:3 100 100 1:3.5 TMPR4955C only 101 101 1:4.5 110 110 1:5 111 011 1:6 TMPR4955C only the divmode[2] signal of the tmpr4955b has a pull-down resistor in the internal of the processor see chapter 10 described about clock interface in detail. tintdis i timer-interrupt disable input 0: enable timer-interrupt 1: disable timer-interrupt haltdoze o halt/doze mode output this signal output the status of halt or doze mode. this signal indicates that the tx4956 is in the halt or doze mode when this signal is ?h?. endian i endianess input indicates the initial setting of the endian during a reset. 0: little endian 1: big endian table 2.2.7 interrupt interface signal name i/o function int[5:0] * i interrupt (with pull-up resistor). 6 general-purpose processor interrupt inputs, which are sampled at rising edges of the masterclock. when tintdis is deasserted (tintdis = 0), int5 * is not available. nmi * i nonmaskable interrupt (with pull-up resistor). nonmaskable interrupt input, which is sampled at rising edges of the masterclock.
chapter 2 features 2-9 table 2.2.8 ejtag interface signal name i/o function jtdi i jtag data input/debug interrupt input run-time mode: input serial data to jtag data/instruction registers. (with pull-up resistor). jtck i jtag clock input clock input for jtag. the jtdi and jtms data are latched on rising edges of this clock (with pull-up resistor). jtdo/tpc[0] o jtag data output/trace pc output data is serially shifted out from this pin. outputs a non-sequential program counter value synchronously with dclk. jtms i jtag command controls mainly the status transition of the tap controller state machine. when the serial input data is a jtag command, apply a high signal (= 1) to this pin (with pull-up resistor). dclk o debug clock (1/3 cpu clock) clock output for a real-time debug system. timings of the serial monitor bus and pc trace interface signals all are defined by this debug clock dclk. dclk clock frequency is 1/3 that of cpuclk. pcst[8:0] o pc trace status outputs pc trace status information and serial monitor bus mode. tpc[3:1] o trace pc output (with pull-up resistor for tpc[1] and with pull-down resistors for tpc[3:2]) outputs a non-sequential program counter value synchronously with dclk. trst * i test reset input reset input for a real-time debug system. when trst * is asserted (= 0), the debug support unit (dsu) is initialized. trst * should be asserted when dsu is not used (with pull-down resistor).
chapter 2 features 2-10 table 2.2.9 initialization interface signal name i/o function reset * i reset (with pull-up resistor). this signal should be asserted for soft reset. see section 9.2.3 softreset in detail. coldreset * i cold reset this signal should be assert at power-on and for a cold reset. gbusclk starts operating synchronously with this signal. see section 9.2.2 coldreset in detail. pllreset * i pll reset input this signal should be asserted for initialization of the on-chip pll, for example, when the system power is turned on. see section 9.2.1 power-on reset in detail. mode43 * i sysad bus protocol selection the high or low level of this input signal at power-on or cold reset selects the sysad bus protocol. 0: r4300 type protocol 1: r5000 type protocol bufsel[1:0] :tmpr4955b bufsel :TMPR4955C i output buffer select select the output buffer type of data bus and control signals. tmpr4955b bufsel[1:0] buffer output rate 00 50 % ( 4-ma buffer type) 01 reserved 10 150 % (12-ma buffer type) 11 100 % ( 8-ma buffer type) TMPR4955C bufsel buffer output rate 0 100 % (16-ma buffer type) 1 50 % ( 8-ma buffer type) please not change the value after power-on.
chapter 2 features 2-11 table 2.2.10 power supply signal name i/o function vccpll ? vcc for the pll this is a static vcc for the internal phase locked loop. tmpr4955b: apply a 1.5 v power supply. TMPR4955C: apply a 1.25 v power supply vsspll ? vss for the pll this is a static vss for the internal phase locked loop. vccio ? vccio this is a 3.3 v or 2.5 v power supply pin. vccint ? vccint this is a power supply pin. tmpr4955b: apply a 1.5 v power supply. TMPR4955C: apply a 1.25 v power supply. vss ? vss this is the ground pin.
chapter 2 features 2-12
chapter 3 tx49/h3, tx49/h4 core?s registers 3-1 3. tx49/h3, tx49/h4 core?s registers 3.1 cpu registers the tx4955 with tx49/h3 or tx49/h4 core has the 64-bit cpu registers. ? 32 general-purpose registers ? 64-bit program counter ? hi/lo register for storing the result of multiply and divide operations figure 3.1.1 shows the configuration of these registers. general purpose registers (gpr) multiply/divide registers 63 0 63 0 r0 = 0 hi r1 63 0 r2 lo . . program counter r29 63 0 r30 pc r31 = link address figure 3.1.1 cpu registers the r0 and r31 registers of gpr have special functions as follows. ? register r0 always contains the value 0. it can be a target register of an instruction whose operation result is not needed. or, it can be a source register of an instruction that requires a value of 0. ? register r31 is the link register for the jump and link instruction. the address of the instruction after the delay slot is placed in r31. the tx4955 has the following some special registers that are used or modified implicitly by certain instructions. ? hi - holds the high-order bits of the result of integer multiply operation or the remainder of integer divide operation. ? lo - holds the low-order bits of the result of integer multiply operation or the quotient of integer divide operation. these two registers are used to store that result of an integer multiplication or division. in multiplication, the 64 high-order bits of a 128-bit result are stored in th e hi, and the 64 low-order bits are stored in the lo. in division, the resulting quotient is stored in the lo, and the remainder is stored in the hi. ? pc - program counter the register contains the address of the currently executed instruction.
chapter 3 tx49/h3, tx49/h4 core?s registers 3-2 3.2 cp0 registers the tx4955 with tx49/h3 or tx49/h4 core has the 32-bit or 64-bit system control coprocessor(cp0) registers. these registers are used for memory system or exception handling. table 3.2.1 lists the cp0 registers built into the tx49/h3 or tx49/h4 core. table 3.2.1 cp0 registers register name reg. no. register name reg. no. index reg # 0 config reg # 16 random reg # 1 lladdr reg # 17 entrylo0 reg # 2 (reserved) (note 1) reg # 18 entrylo1 reg # 3 (reserved) (note 1) reg # 19 context reg # 4 xcontext reg # 20 pagemask reg # 5 (reserved) (note 1) reg # 21 wired reg # 6 (reserved) (note 1) reg # 22 (reserved) (note 1) reg # 7 debug (note 2) reg # 23 badvaddr reg # 8 depc (note 2) reg # 24 count reg # 9 (reserved) (note 1) reg # 25 entryhi reg # 10 (reserved) (note 1) reg # 26 compare reg # 11 (reserved) (note 1) reg # 27 status reg # 12 taglo reg # 28 cause reg # 13 taghi reg # 29 epc reg # 14 errorepc reg # 30 prid reg # 15 desave (note 2) reg # 31 note 1: these registers are used to test the system control coprocessor (cp0) and should not be accessed by the user. note 2: these registers are exclusively used by external in-circuit emulators (ice).
chapter 3 tx49/h3, tx49/h4 core?s registers 3-3 3.2.1 index register (reg # 0) the index register is a 32-bit read/write register cont aining six bits to index an entry in the tlb. the p bit of the register shows the success/failure of a tlb probe (tlbp) instruction. the index register also specifies the tlb entry affected by tlb read (tlbr) or tlb write index (tlbwi) instructions. figure 3.2.1 shows the format of the index register and table 3.2.2 describes the index register fields. 31 30 65 0 p 0 index figure 3.2.1 index register format table 3.2.2 index register field descriptions bit(s) field name description cold reset read/write 31 p probe failure. set to 1 when the previous tlb probe (tlbp) instruction was unsuccessful. undefined read/write 30:6 0 reserved 0x0 read 5:0 index index to the tlb entry affected by the tlb read (tlbr) and tlb write index (tlbwi) instructions. undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-4 3.2.2 random register (reg # 1) the random register is a read only register containing six bits to index an entry in the tlb. this register decrements as each instructio n executes. the values are as follows. ? a lower bound is set by the number of tlb entries reserved for exclusive use by the operating system (the contents of the wired register). ? an upper bound is set by the total number of tlb entries (47 maximum). the random register specifies the tlb entry affected by tlb write random (tlbwr) instruction. however the register doesn?t need to be read for this purpose, it is readable to verify proper operation of the processor. to simplify testing, the random register is set to the value of the upper bound upon system reset. this register is also set to the upper bound when the wired register is written. figure 3.2.2 shows the format of the random regi ster and table 3.2.3 describes the random register fields. 31 6 5 0 0 random figure 3.2.2 random register format table 3.2.3 random register field descriptions bit(s) field name description cold reset read/write 31:6 0 reserved 0x0 read 5:0 random tlb random index for tlbwr instruction. upper bound (47) read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-5 3.2.3 entrylo0 register (reg # 2) and entrylo1 register (reg # 3) the entrylo register consists of two registers have identical formats: ? entrylo0 is used for even virtual pages ? entrylo1 is used for odd virtual pages the entrylo0 and entrylo1 register are read/write register. these registers hold the physical page frame number (pfn) of the tlb entry for even and odd pages, respectively, when performing tlb read and write operations. figure 3.2.3 shows the format of the entrylo0/en trylo1 register and table 3.2.4 describes the entrylo0/entrylo1 register fields. 63 32 31 30 29 6 5 3 2 1 0 0 wce pfn c d v g figure 3.2.3 entrylo0/entrylo1 register format table 3.2.4 entrylo0/entrylo1 register field descriptions bit(s) field name description cold reset read/write 63:32 0 reserved 0x0 read 31:30 wce usable for windows ce 0x0 read/write 29:6 pfn page frame number. this frame number is the upper bit filed of physical address. undefined read/write 5:3 c specifies the tlb page coherency attribute. 0: cacheable, noncoherent, write-through, no-write allocate 1: cacheable, noncoherent, write-through, write allocate 2: uncached 3: cacheable, noncoherent, write-back, write allocate 4-7: reserved 0x0 read/write 2 d dirty if this bit is set, the page is marked as dirty and, therefore, writable. this bit is actually a write-protect bit that software can use to prevent alteration of data. 0 read/write 1 v valid if this bit is set, it indicates that the tlb entry is valid; otherwise, a tlbl or tlbs miss occurs. 0 read/write 0 g global if this bit is set in both entrylo0 and entrylo1, then the processor ignores the asid during tlb lookup. 0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-6 3.2.4 context register (reg # 4) the context register is a read/write register containi ng the pointer to an entry in the page table entry (pte) array. this array is an operating system data structure that stores virtual to physical address translations. when there is a tlb miss, the cpu loads the tlb with the missing translation from the pte array. normally, the operating system uses the context register to address the current page map which resides in the kernel mapped segment, kseg3. howeve r the contents of this register duplicates some information of the badvaddr register, it is arranged in a form that is more useful for tlb exception handler by a software. figure 3.2.4 shows the formats of the context register and table 3.2.5 describes the context register fields. 31 23 22 4 3 0 ptebase badvpn2 0 (32-bit mode) 63 23 22 4 3 0 ptebase badvpn2 0 (64-bit mode) figure 3.2.4 context register formats table 3.2.5 context register field descriptions 32-bit mode bit(s) field name description cold reset read/write 31:23 ptebase page table entry base pointer this field is for use by the operating system. it is normally written with a value that allows the operating system to use the context register as a pointer into the current pte array in memory. undefined read/write 22:4 badvpn2 bad virtual address bits 31-13 this field is written by hardware on a miss. it contains the virtual page number (vpn) of the most recent virtual address that did not have a valid translation. undefined read 3:0 0 reserved 0x0 read 64-bit mode bit(s) field name description cold reset read/write 63:23 ptebase page table entry base pointer undefined read/write 22:4 badvpn2 bad virtual address bits 31-13 undefined read 3:0 0 reserved 0x0 read the 19-bit badvpn2 field contains bits 31-13 of the virtual address that caused the tlb miss; bits 12 is excluded because a single tlb entry maps-an even-odd page pair. for a 4-kbyte page size, this format can directly address the pair-table of 8-byte ptes. for other page size and pte sizes, shifting and masking this value produces the appropriate address.
chapter 3 tx49/h3, tx49/h4 core?s registers 3-7 3.2.5 pagemask register (reg # 5) the pagemask register is a read/write register used for reading from/writing to the tlb. this register holds a comparison mask that sets the variable page size for each tlb entry. tlb read and write operations use this register as either a source or a destination. when virtual addresses are presented for translation into physical address, the corresponding bits in the tlb identify which virtual address bits among bits 24-13 are used in the comparison. when the mask field is not one of the values shown in table 3.2.6, the operation of the tlb is undefined. figure 3.2.5 shows the format of the pagemask register and table 3.2.6 describes the pagemask register fields. 31 25 24 13 12 0 0 mask 0 figure 3.2.5 pagemask register format table 3.2.6 pagemask register field descriptions bit(s) field name description cold reset read/write 31:25 0 reserved 0x0 read 24:13 mask page comparison mask 000000000000: page size = 4 kbytes 000000000011: page size = 16 kbytes 000000001111: page size = 64 kbytes 000000111111: page size = 256 kbytes 000011111111: page size = 1 mbytes 001111111111: page size = 4 mbytes 111111111111: page size = 16 mbytes 0x0 read/write 12:0 0 reserved 0x0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-8 3.2.6 wired register (reg # 6) the wired register is a read/write register specifie s the boundary between the wired and random entries of the tlb as follows. wired entries are non-replaceable entries, which can not be overwritten by a tlb write random operation. random entries can be overwritten. the wired register is set to 0 upon system reset. writing this register also sets the random register to the value of its upper bound. figure 3.2.6 shows the format of the wired register and table 3.2.7 describes the wired register fields. 31 6 5 0 0 wired figure 3.2.6 wired register table 3.2.7 wired register filed descriptions bit(s) field name description cold reset read/write 31:6 0 reserved (must be written as zeroes, and returns zeroes when read.) 0x0 read 5:0 wired tlb wired boundary. 0x0 read/write tlb 47 0 range of random entries range of wired entries wired register
chapter 3 tx49/h3, tx49/h4 core?s registers 3-9 3.2.7 badvaddr register (reg # 8) the bad virtual address (badvaddr) register is a read only register that displays the most recent virtual address that cause one of the following exceptions; address error, tlb invalid, tlb modified and tlb refill exceptions. the processor does not write to this register when the exl bit in the status register is set to a 1. figure 3.2.7 shows the formats of the badvaddr register and table 3.2.8 describes the badvaddr register fields. 31 0 bad virtual address (32-bit mode) 63 0 bad virtual address (64-bit mode) figure 3.2.7 badvaddr register formats table 3.2.8 badvaddr register field descriptions 32-bit mode bit(s) field name description cold reset read/write 31:0 badvaddr bad virtual address undefined read 64-bit mode bit(s) field name description cold reset read/write 63:0 badvaddr bad virtual address undefined read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-10 3.2.8 count register (reg # 9) the count register is a read/write register. this register acts as a timer, incrementing at a constant rate (1/2 rate of cpuclk) whether or not an instruction is executed, retired, or any forward progress is made through the pipeline. when all count bits of the counter register become up to 1, then they are flushed to 0 and continue to count up from 0. this register can be also written for diagnostic purpose or system initialization. figure 3.2.8 shows the format of the count register and table 3.2.9 describes the count register field. 31 0 count figure 3.2.8 count register format table 3.2.9 count register field description bit(s) field name description cold reset read/write 31:0 count 32-bit timer, incrementing at half the maximum instruction issue rate (cpuclk). 0x0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-11 3.2.9 entryhi register (reg # 10) the entryhi is a read/write register, and holds the high-order bits of a tlb entry for tlb read and write operations. this register is accessed by the tlb probe (tlbp), tlb write ransom (tlbwr), tlb write indexed (tlbwi), and tlb read indexed (tlbr) instructions. when either a tlb refill, tlb invalid, or tlb modified exception occurs, this register is loaded with the virtual page number (vpn2) and the asid of the virtual address that did not have a matching tlb entry. figure 3.2.9 shows the formats of the entryhi register and table 3.2.10 describes the entryhi register fields. 31 13 12 8 7 0 vpn2 0 asid (32-bit mode) 63 62 61 40 39 13 12 8 7 0 r fill vpn2 0 asid (64-bit mode) figure 3.2.9 entryhi register formats table 3.2.10 entryhi register field descriptions 32-bit mode bit(s) field name description cold reset read/write 31:13 vpn2 virtual page number divided by two undefined read/write 12:8 0 reserved 0x0 read 7:0 asid address space id field an 8-bit field that lets multiple processes share the tlb; each process has a distinct mapping of otherwise identical virtual page numbers. undefined read/write 64-bit mode bit(s) field name description cold reset read/write 63:62 r region. used to match vaddr63 and vaddr62. 00: user 01: supervisor 11: kernel undefined read/write 61:40 fill reserved. 0 on read. ignored on write. undefined read 39:13 vpn2 virtual page number divided by two undefined read/write 12:8 0 reserved 0x0 read 7:0 asid address space id field. undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-12 3.2.10 compare register (reg # 11) the compare register acts as a timer. when value of the count register equals the value of the compare register, interrupt bit ip[7] in the cause register is se t. this causes an interrupt exception as soon as the interrupt is enabled. writing a value to this register, as a side effect, clears the timer interrupt. for diagnostic purpose, this register is a read/write register. however, in normal operation this register is write only. figure 3.2.10 shows the format of the compare register and table 3.2.11 describes the compare register field. 31 0 compare figure 3.2.10 compare register format table 3.2.11 compare register field description bit(s) field name description cold reset read/write 31:0 compare acts as a timer; it maintains a stable value that does not change on its own. 0x0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-13 3.2.11 status register (reg # 12) the status register is a read/write register that contains the operating mode, interrupt enabling, and diagnostic states of the processor. the more impo rtant status register fields are as followings: ? the interrupt mask (im) field of 8 bits controls the enabling of eight interrupt conditions. interrupt must be enabled before they can be asserted, and the corresponding bits are set in both the im field of this register and the interrupt pending field of the cause register. ? the coprocessor usability (cu) field of 4 bits controls the usability of four possible coprocessors. regardless of the cu0 bit setting, cp0 is always usable in kernel mode. ? the diagnostic status (ds) field of 9 bits is used for self-testing, and checks the cache and virtual memory system. ? the reverse endian (re) bit reve rses the endianness. the processo r can be configured as either little/big-endian at reset; reverse-endian selectio n is used in kernel and supervisor modes, and in the user mode when the re bit is 0. setting the re bit to 1 inverts the user mode endianness. figure 3.2.11 shows the format of the status register and table 3.2.12 describes the status register field. 31 28 27 26 25 24 16 15 8 7 6 5 4 3 2 1 0 cu 0 fr re ds im kx sx ux ksu erl exl ie 24 23 22 21 20 19 18 17 16 0 bev 0 sr 0 ch 0 0 figure 3.2.11 status register format table 3.2.12 status register field descriptions bit(s) field name description cold reset read/write 31:28 cu (3, 2, 1, 0) controls the usability of each of the four coprocessor unit numbers. cp0 is always usable when in kernel mode, regardless of the setting of the cu0 bit. 0: unusable 1: usable 0000 read/write 27 0 reserved 0 read 26 fr number of floating-point registers(fpr) 0: 64-bit wide 16 fprs 1: 32-bit wide 32 fprs see section 3.3.1, ?floating-point general registers (fgrs)? in detail. 0 read/write 25 re reverse-endian bit, valid in user mode. 0 read/write 24:23 0 reserved 0x0 read 22 bev controls the location of tlb refill and general exception vectors. 0: normal 1: bootstrap 1 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-14 bit(s) field name description cold reset read/write 21 0 reserved 0 read 20 sr 1: indicates a soft reset or nmi has occurred. 0 read/write 19 0 reserved 0 read 18 ch ?hit? or ?miss? indication for last cache hit invalidate, hit write back invalidate, hit write back for a primary cache. 0: miss 1: hit 0 read/write 17:16 0 reserved 0x0 read 15:8 im interrupt mask controls the enabling of each of the external, internal and software interrupts. an interrupt is taken if interrupts are enabled, and the corresponding bits are set in both the im field of the status register and the ip field of the cause register. 0: disabled 1: enabled 0x0 read/write 7 kx enables 64-bit addressing in kernel mode. the extended-addressing tlb refill exception is used for tlb misses on kernel addresses. 0: 32-bit 1: 64-bit 0 read/write 6 sx enables 64-bit addressing and operations in supervisor mode. the extended-addressing tlb refill exception is used for tlb misses on supervisor addresses. 0: 32-bit 1: 64-bit 0 read/write 5 ux enables 64-bit addressing and operations in user mode. the extended-addressing tlb refill exception is used for tlb misses on user addresses. 0: 32-bit 1: 64-bit 0 read/write 4:3 ksu mode 10: user 01: supervisor 00: kernel 11: reserved 0x0 read/write 2 erl error level 0: normal 1: error 1 read/write 1 exl exception level 0: normal 1: exception 0 read/write 0 ie interrupt enable 0: disable 1: enable 0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-15 status register modes and access states fields of the status register set the modes and access states described in the section that follow. ? interrupt enable: interrupts are enabled when all of the following conditions are met: ? ie = 1 ? exl = 0 ? erl = 0 if these conditions are met, the settings of the im bits enable the interrupt. ? operation modes: the following cpu status register bit settings are required for user, kernel and supervisor modes (see section 4.2, ?operation modes,? for more information about operating modes). ? the processor is in user mode when ksu = 10 2 , exl = 0, and erl = 0. ? the processor is in supervisor mode when ksu = 01 2 , exl = 0 and erl = 0. ? the processor is in kernel mode when ksu = 00 2 , exl= 1, or erl =1. ? 32- and 64-bit modes: the following cpu status re gister settings select 32- or 64-bit operation for user, kernel, and supervisor operating modes. enabling 64-bit operation permits the execution of 64-bit opcodes and translation of 64-bit addresses. 64-bit operation for user, kernel and supervisor modes can be set independently. ? 64-bit addressing for kernel mode is enabled when kx = 1. 64-bit operations are always valid in kernel mode. ? 64-bit addressing and operations are enabled for supervisor mode when sx = 1. ? 64-bit addressing and operations are enabled for user mode when ux = 1. ? kernel address space accesses: access to the kernel address space is allowed when the processor is in kernel mode. ? supervisor address space accesses: access to the supervisor address space is allowed when the processor is in kernel or supervisor mode, as desc ribed above in the section above titled ?operating modes.? ? user address space accesses: access to the user a ddress is allowed in any of the three operating modes. status register reset the contents of the status register are undefined at reset, except for the following bits in the diagnostic status field: ? erl and bev = 1 the sr bit distinguishes between the reset ex ception and the soft reset exception (caused by nonmaskable interrupt [nmi]).
chapter 3 tx49/h3, tx49/h4 core?s registers 3-16 3.2.12 cause register (reg # 13) the cause register holds the cause of the most recent exception. this register is read-only, except for the ip[1:0] bits. figure 3.2.12 shows the format of the cause register and table 3.2.13 describes the cause register field. 31 30 29 28 27 16 15 8 7 6 2 1 0 bd 0 ce 0 ip 0 exccode 0 figure 3.2.12 cause register format table 3.2.13 cause register field descriptions bit(s) field name description cold reset read/write 31 bd indicates whether or not the last exception was taken while executing in a branch delay slot. 0: normal 1: delay slot 0 read 30 0 reserved 0 read 29:28 ce indicates the coprocessor unit number referenced when a coprocessor unusable exception is taken. 00: coprocessor 0 01: coprocessor 1 10: coprocessor 2 11: coprocessor 3 0x0 read 27:16 0 reserved 0x0 read 15:10 ip[7:2] indicates whether an interrupt is pending. 0: not pending 1: pending int[5:0] read 9:8 ip[1:0] software interrupts. 0: reset 1: set 0x0 read/write 7 0 reserved 0 read 6:2 exccode exception code field. 0: int: interrupt. 1: mod: tlb modification exception. 2: tlbl: tlb exception (load or instruction fetch) 3: tlbs: tlb exception (store) 4: adel: address error exception (load or instruction fetch) 5: ades: address error exception (store) 6: ibe: bus error exception (instruction fetch) 7: dbe: bus error exception (data reference: load) 8: sys: system call exception 9: bp: breakpoint exception 10: ri: reserved instruction exception 11: cpu: coprocessor unusable exception 12: ov: arithmetic overflow exception 13: tr: trap exception 14: reserved 15: fpe: floating-point exception 16-31: reserved 0x0 read 1:0 0 reserved 0x0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-17 3.2.13 epc register (reg # 14) the exception program counter (epc) register is a r ead/write register. this register contents the address at which processing resumes after an exception has been serviced. for synchronous exceptions, this register contains either: ? the virtual address of the instruction that was the direct cause of the exception. ? the virtual address of the immediately preceding branch or jump instruction (when the instruction is in a branch delay slot, and the br anch delay bit in the cause register is set). the processor does not write to the epc register when exl bit in the status register is set to 1. figure 3.2.13 shows the formats of the epc register and table 3.2.14 describes the epc register field. 31 0 epc (32-bit mode) 63 0 epc (64-bit mode) figure 3.2.13 epc register formats table 3.2.14 epc register field description 32-bit mode bit(s) field name description cold reset read/write 31:0 epc exception program counter undefined read/write 64-bit mode bit(s) field name description cold reset read/write 63:0 epc exception program counter undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-18 3.2.14 prid register (reg # 15) the processor revision identifier (prid) register is a read-only register. this register contents information identifying the implementation and revision level of the cpu and cp0. figure 3.2.14 shows the format of the prid register and table 3.2.15 describes the prid register field. 31 16 15 8 7 0 0 imp rev figure 3.2.14 prid register format table 3.2.15 prid register field descriptions bit(s) field name description cold reset read/write 31:16 0 reserved 0x0 read 15:8 imp implementation number (0x2d means ?tx49 family?.) 0x2d read 7:0 rev revision number + . + read + value is shown in product sheet
chapter 3 tx49/h3, tx49/h4 core?s registers 3-19 3.2.15 config register (reg # 16) the config register is a read-only register; except for halt, ice # , dce # and k0 fields. this register specifies various configuration options selected on the processor. ec, be, ic, dc, ib and db fields are set by the hardware during reset and are included in this register as read-only status bits for the software to access. figure 3.2.15 shows the format of the config regist er and table 3.2.16 describes the config register field. 31 30 28 27 24 23 19 18 17 16 15 14 13 12 11 9 8 6 5 4 3 2 0 0 ec 0 0 halt ice # dce # be 1 0 ic dc ib db 0 k0 figure 3.2.15 config register format table 3.2.16 config register field descriptions bit(s) field name description cold reset read/write 31 0 reserved 0 read 30:28 ec gbus clock rate this bit indicates the divided rate of cpuclk and gbusclk. 0: processor clock frequency divided by 2 1: processor clock frequency divided by 3 2: processor clock frequency divided by 4 3: processor clock frequency divided by 6 4: processor clock frequency divided by 3.5 5: processor clock frequency divided by 4.5 6: processor clock frequency divided by 5 7: processor clock frequency divided by 2.5 number 3 and 4 are not supported and reserved in tmpr4955b. pin read 27:19 0 reserved 0x0 read 18 halt wait mode 0: halt 1: doze indicates the power-down behavior of the processor when wait instruction is executed. the processor stalls the pipeline both in halt and doze mode. cache snoops are possible during doze mode but not possible during halt mode. halt mode reduces power consumption to a greater extent than doze mode. 0 read/write 17 ice # instruction cache enable 0: instruction cache enable 1: instruction cache disable 0 read/write 16 dce # data cache enable 0: data cache enable 1: data cache disable 0 read/write 15 be big endian 0: little endian 1: big endian pin read 14:13 1 reserved 11 read 12 0 reserved 0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-20 bit(s) field name description cold reset read/write 11:9 ic instruction cache size. in the processor, this is set to 32 kb (011). 011 read 8:6 dc data cache size. in the processor, this is set to 32 kb (011). 011 read 5 ib primary i-cache line size 1:32 bytes (8 words) 1 read 4 db primary d-cache line size 1:32 bytes (8 words) 1 read 3 0 reserved 0 read 2:0 k0 kseg0 coherency algorithm 0: cacheable, non-coherent, write-through, no-write allocate 1: cacheable, non-coherent, write-through, write allocate 2: un-cached 3: cacheable, non-coherent, write-back, write allocate 4-7: reserved 0x0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-21 3.2.16 lladdr register (reg # 17) the load linked address (lladdr) register is a read /write register, and contains the physical address read by the most recent load linked (ll/lld) instruction. this register is for diagnostic purposes only, and serves no function during normal operation. figure 3.2.16 shows the format of the lladdr register and table 3.2.17 describes the lladdr register field. 31 0 paddr[35:4] figure 3.2.16 lladdr register format table 3.2.17 lladdr register field description bit(s) field name description cold reset read/write 31:0 paddr physical address bits 35-4 0x0 read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-22 3.2.17 xcontext register (reg # 20) the xcontext register is a read/write register, and contains a pointer to an entry in the page table entry (pte) array, an operating system data structure that stores virtual to physical address translations. when there is a tlb miss, the operating system software loads the tlb with the missing translation from the pte array. however the contents of this register duplic ates some information of the badvaddr register, it is arranged in a form that is more useful for tlb exception handler by a software. this register is for use with the xtlb refill handler, which loads tlb entries for references to a 64-bit address space, and is included solely for operating system use. the operating system sets the pte base field in the register, as needed. normally, the operating system uses this register to address the current page map which resides in the kernel mapped segment, kseg3. the badvpn2 field of 27 bits has bits 39-13 of the virtual address that caused the tlb miss; bit 12 is excluded because a single tlb entry maps to an even-odd page pair. for a 4 kbyte page size, this format may be used directly to access the pair-table of 8 byte ptes. for other page sizes and pte sizes, shifting and masking this value produces the appropriate address. figure 3.2.17 shows the format of the xcontext re gister and table 3.2.18 describes the xcontext register field. 63 33 32 31 30 4 3 0 ptebase r badvpn2 0 figure 3.2.17 xcontext register format table 3.2.18 xcontext register field description bit(s) field name description cold reset read/write 63:33 ptebase page table entry base pointer this field is normally written with a value that allows the operation system to use the context register as a pointer into the current pte array in memory. undefined read/write 32:31 r the region field contains bits 63-62 of the virtual address. 00: user 01: supervisor 11: kernel undefined read/write 30:4 badvpn2 bad virtual page number divided by two. this field is written by hardware on a miss. it contains the vpn of the most recent invalidly translated virtual address. undefined read 3:0 0 reserved 0x0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-23 3.2.18 debug register (reg # 23) the debug register is a read-only; except for tlf, bsf, sst and jtagrst fields. this register holds the information for debug handler. figure 3.2.18 shows the format of the debug register and table 3.2.19 describes the debug register field. 31 30 29 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 dbd dm 0 nis trs oes tlf bsf 0 sst jtagrst 0 dint dib ddbs ddbl dbp dss figure 3.2.18 debug register format table 3.2.19 debug register field descriptions bit(s) field name description cold reset read/write 31 dbd debug branch delay when a debug exception occurs while an instruction in the branch delay slot is executing, this bit is set to 1. 0 read 30 dm debug mode it indicates that a debug exception has taken place. this bit is set when a debug exception is taken, and is cleared upon return from the exception (deret). while this bit is set all interrupts, including nmi, tlb exception , bus error exception, and debug exception are masked and cache line locking function is disabled. 0: debug handler not running 1: debug handler running 0 read 29:15 0 reserved 0x0 read 14 nis non-maskable interrupt status when this bit is set indicating that a non-maskable interrupt has occurred at the same time as a debug exception. in this case the status, cause, epc, and badvaddr registers assumes the usual status after occurrence of a non-maskable interrupt, but the address in depc is not the non-maskable exception vector address (0xbfc0 0000). instead, 0xbfc0 0000 is put in depc by the debug handler software after which processing returns directly from the debug exception to the non-maskable interrupt handler. 0 read 13 trs tlb miss status when this bit is set indicating the debug exception and tlb/xtlb refill exception has occurred at the same time. in this case the status, cause, epc, and badvaddr registers assumes the usual status after occurrence of tlb/xtlb refill. the address in the depc is not the other exception vector address. instead, 0xbfc0 0200 (if bev = 1) in case of tlb refill exception and 0xbfc0 0280 (if bev = 1) in case of xtlb refill exception or 0x8000 0000 (if bev = 0) in case of tlb refill exception and 0x8000 0080 (if bev = 0) in case of xtlb refill exception is put in depc by the debug exception handler software, after which processing returns directly from the debug exception to the other exception handler. 0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-24 bit(s) field name description cold reset read/write 12 oes other exception status when this bit is set, indicates exception other than reset, nmi, or tlb/xtlb refill has occurred at the same time as a debug exception. in this case the status, cause, epc, and badvaddr registers assume the usual status after occurrence of such an exception, but the addressing the depc is not the other exception vector address. instead, 0xbfc0 0380 (if bev = 1) or 0x8000 0180 (if bev = 0) is put in depc by the debug exception handler software, after which processing returns directly from the other exception handler. 0 read 11 tlf tlb exception flag this bit is set to 1 when tlb related exception occurs for immediately preceding load or store instruction while a debug exception handler is running (dm = 1). tlb exception will set this bit to 1 regardless of writing zero. it is cleared by writing 0 and writing 1 is ignored. 0 read/write 10 bsf bus error exception flag this bit is set to 1 when a bus error exception occurs for a load or store instruction while a debug exception handler is running (dm = 1). bus error exception will set this bit to 1 regardless of writing zero. it is cleared by writing 0 and writing 1 is ignored. 0 read/write 9 0 reserved 0 read 8 sst single step set to 1 indicates the single step debug function is enable (1) or disabled (0). the function is disable when the dm bit is set to 1 while the debug exception is running. 0 read/write 7 jtagrst jtag reset when this bit is set to 1 the processor reset the jtag unit. 0 read/write 6 0 reserved 0 read 5 dint debug interrupt break exception status set to 1 when debug interrupts occurs. 0 read 4 dib debug instruction break exception status set to 1 on instruction address break. 0 read 3 ddbs debug data break store exception status set to 1 on data address break at store operation. 0 read 2 ddbl debug data break load exception status set to 1 on data address break at load operation. 0 read 1 dbp debug breakpoint exception status this bit is set when executing sdbbp instruction. 0 read 0 dss debug single step exception status set to 1 indicate single step exception. 0 read
chapter 3 tx49/h3, tx49/h4 core?s registers 3-25 3.2.19 depc register (reg # 24) the depc register holds the address where processing resumes after the debug exception routine has finished. the address that has been loaded in the depc register is the virtual address of the instruction that caused the debug exception. if the instruction is in the branch delay slot, the virtual address of the immediately preceding branch or jump instruction is placed in this register. execution of the deret instruction causes a jump to the address in the depc. if the depc is both written from software (by mtc0) and by hardware (debug exception) then the depc is loaded by the value generated by the hardware. figure 3.2.19 shows the formats of the depc regi ster and table 3.2.20 desc ribes the depc register field. 31 0 depc (32-bit mode) 63 0 depc (64-bit mode) figure 3.2.19 depc register formats table 3.2.20 depc register field description 32-bit mode bit(s) field name description cold reset read/write 31:0 depc debug exception program counter. undefined read/write 64-bit mode bit(s) field name description cold reset read/write 63:0 depc debug exception program counter. undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-26 3.2.20 taglo register (reg # 28) and taghi register (reg # 29) the taglo and taghi registers are a read/write registers. these registers hold the primary cache tag for cache lock function or cache diagnostics. these registers are written by the cache/mtc0 instruction. figure 3.2.20 shows the formats of the taglo and ta ghi registers and table 3.2.21 describes the taglo and taghi registers field. 31 8 7 6 5 3 2 1 0 ptaglo pstate rwnt lock f0 0 (taglo) 31 30 29 0 f1 ptaglo1 0 (taghi) figure 3.2.20 taglo and taghi register formats table 3.2.21 taglo and taghi register field descriptions taglo bit(s) field name description cold reset read/write 31:8 ptaglo bits 35-12 of the physical address 0x0 read/write 7:6 pstate specifies the primary cache state 0: invalid 1: reserved 2: reserved 3: valid 0x0 read/write 5:3 rwnt read/write bits required for windows nt 0x0 read/write 2 lock lock bit (0: not locked, 1: locked) 0 read/write 1 f0 fifo replace bit 0 (indicates the set to be replaced) 0 read/write 0 0 reserved 0 read ta g h i bit(s) field name description cold reset read/write 31 f1 fifo replace bit 1 (indicates the set to be replaced) 0 read/write 30 ptaglo1 bit 11 of the physical address 0 read/write 29:0 0 reserved 0x0 read f1 and f0 are concatenated and indicate the set to be replaced. f1 ? f0 0 0 : way0 0 1 : way1 1 0 : way2 1 1 : way3
chapter 3 tx49/h3, tx49/h4 core?s registers 3-27 3.2.21 errorepc register (reg # 30) the errorepc is a read/write register, and is similar to the epc register. this register is used to store the program counter (pc) on coldreset, softreset and nmi exceptions. this register contains the virtual address at which instruction processing can resume after servicing an error. this address can be: ? the virtual address of the instru ction that caused the exception ? the virtual address of the immediately preceding branch or jump instruction, when this address is in a branch delay slot. there is no branch delay slot indication for this register. figure 3.2.21 shows the formats of the errorepc register and table 3.2.22 describes the errorepc register field. 31 0 errorepc (32-bit mode) 63 0 errorepc (64-bit mode) figure 3.2.21 errorepc register formats table 3.2.22 errorepc register field descriptions 32-bit mode bit(s) field name description cold reset read/write 31:0 errorepc error exception program counter. undefined read/write 64-bit mode bit(s) field name description cold reset read/write 63:0 errorepc error exception program counter. undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-28 3.2.22 desave register (reg # 31) this register is used by the debug exception handler to save one of the gprs, that is then used to save the rest of the context to a pre-determined memory ar ea, e.g. in the processor probe. this register allows the safe debugging of exception handlers and other types of code where the existence of a valid stack for context saving cannot be assumed. figure 3.2.22 shows the formats of the desave register and table 3.2.23 describes the desave register field. note: this register can use for ice system only. 63 0 desave figure 3.2.22 desave register format table 3.2.23 desave register field description 32-/64-bit mode bit(s) field name description cold reset read/write 63:0 desave save one of the gprs undefined read/write
chapter 3 tx49/h3, tx49/h4 core?s registers 3-29 3.3 floating-point unit, cp1 the floating-point operations fully conform to the requirements of ansi/ieee standard 754-1985, ieee standard for binary floating-point arithmetic 3.3.1 floating-point general registers (fgrs) cp1 has a set of floating-point general purpose registers (fgrs) that can be accessed in the following ways: ? as 32 general purpose registers (32 fgrs), each of which is 32-bits wide when the fr bit in the cpu status register equals 0; or as 32 general purpose registers (32 fgrs), each of which is 64-bits wide when fr equals 1. the cpu accesses these registers through move, load, and store instructions. ? as 16 floating-point registers (see the next sectio n for a description of fprs), each of which is 64-bits wide, when the fr bit in the cpu status register equals 0. the fprs hold values in either single- or double-precision floating-point format. each fpr corresponds to adjacently numbered fgrs as shown in figure 3.3.1. ? as 32 floating-point registers (see the next sectio n for a description of fprs), each of which is 64-bits wide, when the fr bit in the cpu status register equals 1. the fprs hold values in either single- or double-precision floating-point format. each fpr corresponds to an fgr as shown in figure 3.3.1. floating-point registers (fpr) (fr = 0) floating-point general purpose registers floating-point registers (fpr) (fr = 1) floating-point general purpose registers 31 (fgr) 0 63 (fgr) 0 (least) fgr0 fpr0 fgr0 fpr0 (most) fgr1 fpr1 fgr1 (least) fgr2 fpr2 fgr2 fpr2 (most) fgr3 fpr3 fgr3 ? ? ? ? ? ? (least) fgr28 fpr28 fgr28 fpr14 (most) fgr29 fpr29 fgr29 (least) fgr30 fpr30 fgr30 fpr15 (most) fgr31 fpr31 fgr31 floating-point control registers (fcr) control/status register implementation/revision register 31 (fcr31) 0 31 (fcr0) 0 figure 3.3.1 fp registers
chapter 3 tx49/h3, tx49/h4 core?s registers 3-30 3.3.2 floating-point control registers the mips risc architecture defines 32 floating-point control registers (fcrs); the tx49 processor implements two of these registers: fcr0 and fcr31. these fcrs are described below: ? the implementation/revision register (fcr0) holds revision information. ? the control/status register (fcr31) controls and monitors exceptions, holds the result of compare operations, and es tablishes rounding modes. ? fcr1 to fcr30 are reserved. table 3.3.1 lists the assignments of the fcrs. table 3.3.1 floating-point control register assignments fcr number use fcr0 coprocessor implementation and revision register fcr1-fcr30 reserved fcr31 rounding mode, cause, trap enables, and flags implementation and revision register (fcr0) the read-only implementation and revision register (fcr0) specifies the implementation and revision number of cp1. this information can determine the coprocessor revision and performance level, and can also be used by diagnostic software. figure 3.3.2 shows the layout of the register; ta ble 3.3.2 describes the im plementation and revision register (fcr0) fields. implementation/revision register (fcr0) 31 16 15 8 7 0 0 imp rev 16 8 8 figure 3.3.2 implementation/revision register table 3.3.2 fcr0 fields field name description imp implementation number rev revision number in the form of y. x 0 reserved. returns zeroes when read. the revision number is a value of the form y. x, where: ? y is a major revision number held in bits 7:4. ? x is a minor revision number held in bits 3:0.
chapter 3 tx49/h3, tx49/h4 core?s registers 3-31 control/status register (fcr31) the control/status register (fcr31) contains control and status information that can be accessed by instructions in either kernel or user mode. fcr31 also controls the arithmetic rounding mode and enables user mode traps, as well as identifying any exceptions that may have occurred in the most recently executed floating-point instruction, along with any exceptions that may have occurred without being trapped. figure 3.3.3 shows the format of the control/ status register, and table 3.3.3 describes the control/status register fields. figure 3.3.4 shows th e control/status register cause, flag, and enable fields. control/status register (fcr31) 31 25 24 23 22 18 17 12 11 7 6 2 1 0 0 fs c 0 cause evzoui enables vzoui flags vzoui rm 7 1 1 5 6 5 5 2 figure 3.3.3 fp control/status register bit assignments table 3.3.3 control/status register fields field name description fs when set, denormalized results can be flushed instead of causing an unimplemented operation exception. c condition bit. stores the result of compare instruction. see description of control/status register condition bit. cause cause bits. these bits identify the exceptions raised by the most recently executed floating-point instruction. see figure 3.3.4 and the description of control/status register cause, flag, and enable bits. enables enable bits. when set, these bits trap any floating-point exceptions to indicate that they have been passed to the cpu. see figure 3.3.4 and the description of control/status register cause, flag, and enable bits. flags flag bits. these bits indicate that an exception was raised. see figure 3.3.4 and the description of control/status register cause, flag, and enable bits. rm rounding mode bits. see table 3.3.5 and the description of control/status register rounding mode control bits. bit# 17 16 15 14 13 12 e v z o u i bit# 11 10 9 8 7 v z o u i bit# 6 5 4 3 2 v z o u i inexact operation underflow overflow division by zero invalid operation unimplemented operation figure 3.3.4 control/status register cause, flag, and enable fields cause bits enable bits flag bits
chapter 3 tx49/h3, tx49/h4 core?s registers 3-32 control/status register fs bit the fs bit enables the flushing of denormalized values. when the fs bit is set and the underflow and inexact enable bits are not set, denormalized results are flushed instead of causing an unimplemented operation exception. results are flushed either to 0 or the minimum normalized value, depending upon the rounding mode (see table 3.3.4 below), and the underflow and inexact flag and cause bits are set. table 3.3.4 flush values of denormalized results flushed result rounding mode denormalized result rn rz rp rm positive + 0 + 0 + 2 emin + 0 negative -0 -0 -0 -2 emin control/status register condition bit when a floating-point compare operation takes place, the result is stored at bit 23, the condition bit. the c bit is set to 1 if the condition is true; the bit is cleared to 0 if the condition is false. bit 23 is affected only by compare and ctc1 instructions. the bc1t and bc1f instructions test the c bit to decide whether or not to cause a branch. control/status register cause, flag, and enable fields figure 3.3.4 illustrates the cause, flag, and enable fields of the control/status register. the cause and flag fields are updated by all conversion, comp utational (except mov. fmt), ctc1, reserved, and unimplemented instructions. all other instructions have no affect on these fields. cause bits bits 17-12 in the control/status register contain cause bits, as shown in figure 3.3.4, which reflect the results of the most recently executed floating-point instruction. the cause bits are a logical extension of the cp0 cause register; they identify the exceptions raised by the last floating-point operation. if the corresponding enable bit is set at the time of the exception a floating-point exception and interrupt is raised. if more than one exception occurs on a single instruction, each appropriate bit is set. the cause bits are updated by most floating-point operations. the unimplemented operation (e) bit is set to 1 if software emulation is required, otherwise it remains 0. the other bits are set to 0 or 1 to indicate the occurrence or non-occurrence (respectively) of an ieee 754 exception. within the set of floating-point instructions that update the ca use bits, the cause field indicates the exceptions raised by the most-recentl y-executed instruction. when a floating-point exception is taken, no result s are stored, and the only state affected is the cause bit. therefore, software emulation routines can use the original values to emulate the exception-causing floating-point operation.
chapter 3 tx49/h3, tx49/h4 core?s registers 3-33 enable bits a floating-point exception is generated any time a cause bit and the corresponding enable bit are set. a floating-point operation that sets an enabled cause bit forces an immediate floating-point exception, as does setting both cause and enable bits with ctc1. software can also emulate above. there is no enable for unimplemented operation (e). an unimplemented exception always generates a floating-point exception. before returning from a floating-point exception, software must first clear the enabled cause bits with a ctc1 instruction to prevent a repeat of th e interrupt. thus, user mode programs can never observe enabled cause bits set; if this information is required in a user mode handler, it must be passed somewhere other than the status register. for a floating-point operation that sets only unenabled cause bits, no floating-point exception occurs and the default result defined by ieee 754 is stored. in this case, the exceptions that were caused by the immediately previous floating-point operation can be determined by reading the cause field. flag bits the flag bits are cumulative and indicate the except ions that were raised by the operations that were executed since the bits were explicitly reset. flag bits are set to 1 if an ieee 754 exception is raised, otherwise they remain unchanged. the flag bits are never cleared as a side effect of floating-point operations; however, they can be set or cleared by writing a new value into the status register, using a ctc1 instruction. control/status register rounding mode control bits bits 1 and 0 in the control/status register constitute the rounding mode (rm) field. as shown in table 3.3.5, these b its specify the rounding mode that cp1 uses for all floating-point operations. table 3.3.5 rounding mode bit decoding rounding moderm [1:0] mnemonic description 0 rn round result to nearest representable value; round to value with least-significant bit 0 when the two nearest representable values are equally near. 1 rz round toward 0: round to value closest to and not greater in magnitude than the infinitely precise result. 2 rp round toward + : round to value closest to and not less than the infinitely precise result. 3 rm round toward ? : round to value closest to and not greater than the infinitely precise result.
chapter 3 tx49/h3, tx49/h4 core?s registers 3-34 3.3.3 accessing the fp control and implementation/revision registers the control/status and the implementation/revisio n registers are read by a move control from coprocessor 1 (cfc1) instruction. the bits in the control/status register can be set or cleared by writing to the register using a move control to coprocessor 1 (ctc1) instruction. the implementation/revision register is a read-only register. there are no pipeline hazards (between any instructions) associated with floating-point control registers.
chapter 4 memory management system 4-1 4. memory management system the processor provides a full-featured memory manage ment unit (mmu) which uses an on-chip translation look aside buffer (tlb) to translate virtual addresses into physical addresses. 4.1 address space overview the physical address space is either 4 gbytes or 64 gbytes depending on whether the processor is operating in 32- or 64-bit bus width mode. in 32-bit bus width mode, address space is 4 gbytes using a 32-bit address. in 64-bit bus width mode, address space is 64 gbytes using a 36-bit address. the virtual address is either 32 or 64 bits wide de pending on whether the processor is operating in 32- or 64-bit bus width mode. in 32-bit bus width mode, addresses are 32 bits wide and the maximum user process size is 2 gbytes (2 31 ). in 64-bit bus width mode, addresses are 64 bits wide and the maximum user process is 1 tbytes (2 40 ). the virtual address is extended with an address space identifier (asid) to reduce the frequency of tlb flushing when switching context. the size of th e asid field is 8 bits. the asid is contained in the cp0 entryhi register. 4.1.1 virtual address space the processor virtual address can be either 32 or 64 bits wide, depending on whether the processor is operating in 32-bit or 64-bit bus width mode. ? in 32-bit bus width mode, addresses are 32 bits wide. the maximum user process size is 2 gbytes (2 31 ). ? in 64-bit bus width mode, addresses are 64 bits wide. the maximum user process size is 1 tbytes (2 40 ). figure 4.1.1 shows the translation of a virtual address into a physical address. figure 4.1.1 overview of a virtual-to-physical address translation as shown in figure 4.1.2 and figure 4.1.3, the virt ual address is extended with an 8-bit address space identifier (asid), which reduces the frequency of tlb flushing when switch ing contexts. this 8-bit asid is in the cp0 entryhi register, described later in this chapter. the global bit (g) is in the entrylo0 and entrylo1 registers, described later in this chapter. 3. the offset, which does not pass through the tlb, is then concatenated to the pfn. 2. if there is a match, the page frame number (pfn) representing the upper bits of the physical address (pa) is output from the tlb. physical address virtual address 1. virtual address (va) represented by the virtual page number (vpn) is compared with tag in the tlb. vpn asid g vpn asid g pfn tlb offset pfn tlb entry offset
chapter 4 memory management system 4-2 4.1.2 physical address space the physical address space can be either 4 g or 64 gbytes, depending on whether the processor is operating in 32-bit or 64-bit bus width mode. ? in 32-bit bus width mode, address space is 4 gbytes using a 32-bit address. ? in 64-bit bus width, mode, addresses space is 64 gbytes using a 36-bit address. 4.1.3 virtual-to-physical address translation converting a virtual address to a physical address begins by comparing the virtual address from the processor with the virtual addresses in the tlb; ther e is a match when the virtual page number (vpn) of the address is the same as the vpn field of the entry, and either: ? the global (g) bit of the tlb entry is set, or ? the asid field of the virtual address is the same as the asid field of the tlb entry. this match is referred to as a tlb hit . if there is no match, a tlb miss exception is taken by the processor and software is allowed to refill the tlb from a page table of virtual/physical addresses in memory. if there is a virtual address match in the tlb, th e physical address is output from the tlb and concatenated with the offset , which represents an address within the page frame space. the offset does not pass through the tlb. virtual-to-physical translation is described in great er detail throughout the remainder of this chapter; figure 4.4.1 is a flow diagram of the process shown at the end of this chapter. the next two sections describe the 32-bit and 64-bit address translations.
chapter 4 memory management system 4-3 4.1.4 32-bit mode address translation figure 4.1.2 shows the virtual-to-physical-address translation of a 32-bit mode address. this figure illustrates two of the possible page sizes: a 4-kbyte page (12 bits) and a 16-mbyte page (24 bits). ? the top portion of figure 4.1.2 shows a virtual address with a 12-bit, or 4-kbyte, page size, labeled offset . the remaining 20 bits of the address represent the vpn, and index the 1m-entry page table. ? the bottom portion of figure 4.1.2 shows a virtual address with a 24-bit, or 16-mbyte, page size, labeled offset . the remaining 8 bits of the address represent the vpn, and index the 256-entry page table. figure 4.1.2 32-bit mode virtual address translation offset passed unchanged to physical memory offset passed unchanged to physical memor y virtual-to-physical t r a n s l at i o n in tlb bits 31, 30 and 29 of the virtual address select user, supervisor, or kernel address spaces. virtual-to-physical t r a n s l at i o n in tlb virtual address with 256 (2 8 ) 16-mbyte pages virtual address with 1m (2 20 ) 4-kbyte pages tlb 20 bits = 1 m pages vpn a sid 12 20 8 0 11 12 28 29 39 32 31 offset 32-bit physical address 0 31 pfn offset 8 bits = 256 pages vpn a sid 24 8 8 0 23 24 28 29 39 32 31 offset tlb
chapter 4 memory management system 4-4 4.1.5 64-bit mode address translation figure 4.1.3 shows the virtual-to-physical-address tran slation of a 64-bit mode address. this figure illustrates two of the possible page sizes: a 4-kbyte page (12 bits) and a 16-mbyte page (24 bits). ? the top portion of figure 4.1.3 shows a virtual address with a 12-bit, or 4-kbyte, page size, labelled offset . the remaining 28 bits of the address represent the vpn, and index the 256m-entry page table. ? the bottom portion of figure 4.1.3 shows a virtual address with a 24-bit, or 16-mbyte, page size, labelled offset . the remaining 16 bits of the address represent the vpn, and index the 64k-entry page table. figure 4.1.3 64-bit mode virtual address translation offset passed unchanged to physical memory offset passed unchanged to physical memory virtual-to-physical translation in tlb bits 62 and 63 of the virtual address select user, supervisor, or kernel address spaces. virtual-to-physical translation in tlb virtual address with 64 k (2 16 ) 16-mbyte pages virtual address with 256 m (2 28 ) 4-kbyte pages 28 bits = 256m pages vpn 0 or -1 a sid 12 28 24 8 0 11 12 39 40 61 71 64 62 63 offset 36-bit physical address 0 35 pfn offset 16 bits = 64 k pages vpn 0 or -1 a sid 24 16 24 8 0 23 24 39 40 61 62 71 64 63 offset tlb tlb
chapter 4 memory management system 4-5 4.2 operating modes the processor has the three operating modes, user mo de, supervisor mode and kernel mode, for 32- and 64-bit operation. the ksu, exl and erl bit in the status register select user, supervisor or kernel mode. the ux, sx and kx bit in the status register select 32- or 64-bit addressing in user, supervisor and kernel mode respectively. ksu exl erl ux sx kx mode 10 0 0 0 ? ? 32-bit addressing in user mode 10 0 0 1 ? ? 64-bit addressing in user mode 01 0 0 ? 0 ? 32-bit addressing in supervisor mode 01 0 0 ? 1 ? 64-bit addressing in supervisor mode 00 ? ? ? ? 0 32-bit addressing in kernel mode ? 1 ? ? ? 0 32-bit addressing in kernel mode ? ? 1 ? ? 0 32-bit addressing in kernel mode 00 ? ? ? ? 1 64-bit addressing in kernel mode ? 1 ? ? ? 1 64-bit addressing in kernel mode ? ? 1 ? ? 1 64-bit addressing in kernel mode 4.2.1 user mode operations in user mode, a single, uniform virtual address space-labelled user segment-is available; its size is: ? 2 gbytes (2 31 bytes) in 32-bit mode ( useg ) ? 1 tbytes (2 40 bytes) in 64-bit mode ( xuseg ) figure 4.2.1 shows user mode virtual address space. figure 4.2.1 user mode virtual address space note: in 32-bit mode, bit 31 is sign-extended through bits 63-32. failure results in an address error exception. the user segment starts at address 0 and the current active user process resides in either useg (in 32-bit mode) or xuseg (in 64-bit mode). the tlb identically maps all references to useg/xuseg from all modes, and controls cache accessibility. the processor operates in user mo de when the status register contains the following bit-values: ? ksu bits = 10 2 ? exl = 0 ? erl = 0 0x 0000 0000 0000 0000 0x 0000 0100 0000 0000 0x ffff ffff ffff ffff x use g useg 0x 0000 0000 0x 8000 0000 0x ffff ffff 64-bit 32-bit (note) 2 gb mapped cacheable address error 1 tb mapped cacheable address error
chapter 4 memory management system 4-6 in conjunction with these bits, the ux bit in the status register selects between 32- or 64-bit user mode addressing as follows: ? when ux = 0, 32-bit useg space is selected and tlb misses are handled by the 32-bit tlb refill exception handler ? when ux = 1, 64-bit xuseg space is selected and tlb misses are handled by the 64-bit tlb refill exception handler table 4.2.1 lists the characteristics of the two user mode segments, useg and xuseg. table 4.2.1 32-bit and 64-bit user mode segments status register bit values address bit values ksu exl erl ux segment name address range segment size 32-bit a[31]=0 10 2 0 0 0 useg 0x0000 0000 through 0x7fff ffff 2 gbytes (2 31 bytes) 64-bit a[63:40]=0 10 2 0 0 1 xuseg 0x0000 0000 0000 0000 through 0x0000 00ff ffff ffff 1 tbytes (2 40 bytes) 32-bit user mode ( useg ) in user mode, when ux = 0 in the status register, user mode addressing is compatible with the 32-bit addressing model shown in figure 4.2.1, and a 2-gbyte user address space is available, labelled useg. all valid user mode virtual addresses have their most-significant bit cleared to 0; any attempt to reference an address with the most-significant bit set while in user mode causes an address error exception. the system maps all references to useg through the tlb, and bit settings within the tlb entry for the page determine the cacheability of a reference. 64-bit user mode ( xuseg ) in user mode, when ux = 1 in the status register, user mode addressing is extended to the 64-bit model shown in figure 4.2.1. in 64-bit user mode, the processor provides a single, uniform address space of 2 40 bytes, labelled xuseg. all valid user mode virtual addresses have bits 63- 40 equal to 0; an attempt to reference an address with bits 63-40 not equal to 0 causes an address error exception. the system maps all reference to xuseg through the tlb, and bit settings within the tlb entry for the page determine the cacheability of a reference.
chapter 4 memory management system 4-7 4.2.2 supervisor mode operations supervisor mode is designed for layered operating systems in which a true kernel runs in kernel mode, and the rest of the operating system runs in supervisor mode. the processor operates in supervisor mode when the status register contains the following bit-values: ? ksu = 01 2 ? exl = 0 ? erl = 0 in conjunction with these bits, the sx bit in the status register selects between 32- or 64-bit supervisor mode addressing: ? when sx = 0, 32-bit supervisor space is selected and tlb misses are handled by the 32-bit tlb refill exception handler ? when sx = 1, 64-bit supervisor space is selected and tlb misses are handled by the 64-bit xtlb refill exception handler the system maps all references through the tlb, an d bit settings within the tlb entry for the page determine the cacheability of a reference. figure 4.2.2 shows supervisor mode address mapping. table 4.2.2 lists the characteristics of the supervisor mode segments; descriptions of the address spaces follow. figure 4.2.2 supervisor mode address space note: in 32-bit mode, bit 31 is sign-extended through bits 63-32. failure results in an address error exception. 0x ffff ffff e000 0000 0x 0000 0000 0000 0000 0x 0000 0100 0000 0000 0x 4000 0000 0000 0000 0x 4000 0100 0000 0000 0x ffff ffff c000 0000 0x ffff ffff ffff ffff x suseg x sse g csseg suseg sseg 0x 0000 0000 0x 8000 0000 0x a000 0000 0x c000 0000 0x e000 0000 0x ffff ffff 32-bit (note) 2 gb mapped cacheable 512 mb mapped cacheable address error address error address error 64-bit 512 mb mapped cacheable 1 tb mapped cacheable 1 tb mapped cacheable address error address error address error
chapter 4 memory management system 4-8 table 4.2.2 32-bit and 64-bit supervisor mode segments status register bit values address bit values ksu exl erl sx segment name address range segment size 32-bit a[31] = 0 01 2 0 0 0 suseg 0x0000 0000 through 0x7fff ffff 2 gbytes (2 31 bytes) 32-bit a[31:29] = 110 2 01 2 0 0 0 ssseg 0xc000 0000 through 0xdfff ffff 512 mbytes (2 29 bytes) 64-bit a[63:62] = 00 2 01 2 0 0 1 xsuseg 0x0000 0000 0000 0000 through 0x0000 00ff ffff ffff 1 tbytes (2 40 bytes) 64-bit a[63:62] = 01 2 01 2 0 0 1 xsseg 0x4000 0000 0000 0000 through 0x4000 00ff ffff ffff 1 tbytes (2 40 bytes) 64-bit a[63:62] = 11 2 01 2 0 0 1 csseg 0xffff ffff c000 0000 through 0xffff ffff dfff ffff 512 mbytes (2 29 bytes) 32-bit supervisor mode, user space ( suseg ) in supervisor mode, when sx = 0 in the status register and the most-significant bit of the 32-bit virtual address is set to 0, the suseg virtual address space is selected; it covers the full 2 31 bytes (2 gbytes) of the current user address space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. this mapped space starts at virtual address 0x0000 0000 and runs through 0x7fff ffff. 32-bit supervisor mode, supervisor space ( sseg ) in supervisor mode, when sx = 0 in the status register and the three most-significant bits of the 32-bit virtual address are 110 2 , the sseg virtual address space is selected; it covers 2 29 bytes (512 mbytes) of the current supervisor address space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. this mapped space begins at virtual address 0xc000 0000 and runs through 0xdfff ffff. 64-bit supervisor mode, user space ( xsuseg ) in supervisor mode, when sx = 1 in the status register and bits 63-62 of the virtual address are set to 00 2 , the xsuseg virtual address space is selected; it covers the full 2 40 bytes (1 tbytes) of the current user address space. the virtual address is extended with th e contents of the 8-bit asid field to form a unique virtual address. this mapped space starts at virtual address 0x0000 0000 0000 0000 and runs through 0x0000 00ff ffff ffff. 64-bit supervisor mode, current supervisor space ( xsseg ) in supervisor mode, when sx = 1 in the status register and bits 63-62 of the virtual address are set to 01 2 , the xsseg current supervisor virtual address space is selected. the virtual address is extended with the contents of the 8-bit asid field to form a unique vi rtual address. this mapped space begins at virtual address 0x4000 0000 0000 0000 and runs through 0x4000 00ff ffff ffff.
chapter 4 memory management system 4-9 64-bit supervisor mode, separate supervisor space ( csseg ) in supervisor mode, when sx = 1 in the status register and bits 63-62 of the virtual address are set to 11 2 , the csseg separate supervisor virtual address space is selected. addressing of the csseg is compatible with addressing sseg in 32-bit mode. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. this mapped space begins at virtual address 0xffff ffff c000 0000 and runs through 0xffff ffff dfff ffff. 4.2.3 kernel mode operations the processor operates in kernel mo de when the status register contains one or more of the following values: ? ksu = 00 2 ? exl = 1 ? erl = 1 in conjunction with these bits, the kx bit in the status register selects between 32- or 64-bit kernel mode addressing: ? when kx = 0, 32-bit kernel space is selected and all tlb misses are handled by the 32-bit tlb refill exception handler ? when kx = 1, 64-bit kernel space is selected and all tlb misses are handled by the 64-bit xtlb refill exception handler the processor enters kernel mode whenever an exception is detected and it remains in kernel mode until an exception return (eret) instruction is executed and results in erl and/or exl = 0. the eret instruction restores the processor to the mode existing prior to the exception. kernel mode virtual address space is divided into regions differentiated by the high-order bits of the virtual address, as shown in figure 4.2.3. table 4.2.3 lists the characteristics of the 32-bit kernel mode segments, and table 4.2.4 lists the characteristics of the 64-bit kernel mode segments.
chapter 4 memory management system 4-10 figure 4.2.3 kernel mode address space note 1: in 32-bit mode, bit 31 is sign-extended through bits 63-32. failure results in an address error exception. note 2: 0xff00_0000 through 0xff3f_ffff in 32-bit mode and 0xffff_ffff_ff00_0000 through 0xffff_ffff_ff3f_ffff in 64-bit mode are reserved (unmapped, uncached) for use by registers in the debug support unit and the processor?s peripherals. kuseg kseg0 kseg1 ksseg kseg3 (note 2) 0x 0000 0000 0x 8000 0000 0x a000 0000 0x c000 0000 0x e000 0000 0x ffff ffff 32-bit (note 1) 2 gb mapped cacheable 512 mb mapped cacheable 512 mb mapped cacheable 512 mb unmapped cacheable 512 mb unmapped uncached 0x ffff ffff e000 0000 0x 0000 0000 0000 0000 0x 0000 0100 0000 0000 0x 4000 0000 0000 0000 0x 4000 0100 0000 0000 0x 8000 0000 0000 0000 0x c000 0000 0000 0000 0x c000 00ff 8000 0000 0x ffff ffff 8000 0000 0x ffff ffff a000 0000 0x ffff ffff c000 0000 0x ffff ffff ffff ffff x kuse g x ksse g x kphys x kse g cksseg ckseg0 ckseg1 ckseg3 (note 2) 64-bit 5 12 mb mapped cacheable 5 12 mb mapped cacheable 1 tb mapped cacheable 1 tb mapped cacheable m appe d cacheable unmapped (for details see figure 4.2.4) address error address error address error 512 mb unmapped uncached 5 12 mb unmapped cacheable
chapter 4 memory management system 4-11 figure 4.2.4 xkphys address space 0xbfff ffff ffff ffff 4
chapter 4 memory management system 4-12 table 4.2.3 32-bit kernel mode segments status register is one of these values address bit values ksu exl erl kx segment name address range segment size a[31] = 0 0 kuseg 0x0000 0000 through 0x7fff ffff 2 gbytes (2 31 bytes) a[31:29] = 100 2 0 kseg0 0x8000 0000 through 0x9fff ffff 512 mbytes (2 29 bytes) a[31:29] = 101 2 0 kseg1 0xa000 0000 through 0xbfff ffff 512 mbytes (2 29 bytes) a[31:29] = 110 2 0 ksseg 0xc000 0000 through 0xdfff ffff 512 mbytes (2 29 bytes) kseg3 0xe000 0000 through 0xffff ffff 512 mbytes-4 mbytes (2 29 bytes) a[31:29] = 111 2 ksu = 00 2 or exl = 1 or erl = 1 0 reserved (note) 0xff00 0000 through 0xff3f ffff 4 mbytes note : 0xff00_0000 through 0xff3f_ffff in 32-bit mode is reserved (unmapped, uncached) for use by registers in the debug support unit and the processor?s peripherals. 32-bit kernel mode, user space ( kuseg ) in kernel mode, when kx = 0 in the status register, and the most-significant bit of the virtual address, a31, is cleared, the 32-bit kuseg virtual address space is selected; it covers the full 2 31 bytes (2 gbytes) of the current user address space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. when erl = 1 in the status register, the user address region becomes a 2 31 bytes unmapped (that is, mapped directly to physical addresses) uncached address space. 32-bit kernel mode, kernel space 0 ( kseg0 ) in kernel mode, when kx = 0 in the status register and the most-significant three bits of the virtual address are 100 2 , 32-bit kseg0 virtual address space is selected; it is the 2 29 bytes (512 mbytes) kernel physical space. references to kseg0 are not mapped through the tlb; the physical address selected is defined by subtracting 0x8000 0000 from the virtual address. the k0 field of the config register, described in this chapter, controls cacheability and coherency. 32-bit kernel mode, kernel space 1 ( kseg1 ) in kernel mode, when kx = 0 in the status register and the most-significant three bits of the 32-bit virtual address are 101 2 , 32-bit kseg1 virtual address space is selected; it is the 2 29 bytes (512 mbytes) kernel physical space. references to kseg1 are not mapped through the tlb; the physical address selected is defined by subtracting 0xa000 0000 from the virtua l address. caches are disabled for accesses to these addresses, and physical memory (or memory-mapped i/o device registers) are accessed directly. 32-bit kernel mode, supervisor space ( ksseg ) in kernel mode, when kx = 0 in the status register and the most-significant three bits of the 32-bit virtual address are 110 2 , the ksseg virtual address space is selected; it is the current 2 29 bytes (512 mbytes) supervisor virtual space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address.
chapter 4 memory management system 4-13 32-bit kernel mode, kernel space 3 ( kseg3 ) in kernel mode, when kx = 0 in the status register and the most-significant three bits of the 32-bit vital address are 111 2 , the kseg3 virtual address space is selected; it is the current 2 29 bytes (512 mbytes-4 mbytes) kernel virtual space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. table 4.2.4 64-bit kernel mode segments status register is one of these values address bit values ksu exl erl kx segment name address range segment size a[63:62] = 00 2 1 xkuseg 0x0000 0000 0000 0000 through 0x0000 00ff ffff ffff 1 tbytes (2 40 bytes) a[63:62] = 01 2 1 xksseg 0x4000 0000 0000 0000 through 0x4000 00ff ffff ffff 1 tbytes (2 40 bytes) a[63:62] = 10 2 1 xkphys 0x8000 0000 0000 0000 through 0xbfff ffff ffff ffff 8 2 32 bytes a[63:62] = 11 2 1 xkseg 0xc000 0000 0000 0000 through 0xc000 00ff 7fff ffff 2 40 ?2 31 bytes a[63:62] = 11 2 a[61:31] = -1 1 ckseg0 0xffff ffff 8000 0000 through 0xffff ffff 9fff ffff 512 mbytes (2 29 bytes) a[63:62] = 11 2 a[61:31] = -1 1 ckseg1 0xffff ffff a000 0000 through 0xffff ffff bfff ffff 512 mbytes (2 29 bytes) a[63:62] = 11 2 a[61:31] = -1 1 cksseg 0xffff ffff c000 0000 through 0xffff ffff dfff ffff 512 mbytes (2 29 bytes) ckseg3 0xffff ffff e000 0000 through 0xffff ffff ffff ffff 512 mbytes -4 mbytes a[63:62] = 11 2 a[61:31] = -1 ksu = 00 2 or exl = 1 or erl = 1 1 reserved (note) 0xffff ffff ff00 0000 through 0xffff ffff ff3f ffff 4 mbytes note : 0xffff_ffff_ff00_0000 through 0xffff_ffff_ff3f_ffff in 64-bit mode is reserved (unmapped, uncached) for use by registers in the debug support unit and the processor?s peripherals. 64-bit kernel mode, user space ( xkuseg ) in kernel mode, when kx = 1 in the status register and bits 63-62 of the 64-bit virtual address are 00 2 , the xkuseg virtual address space is selected; it covers the current user address space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address. when erl = 1 in the status register, the user address region becomes a 2 31 bytes unmapped (that is, mapped directly to physical addresses) uncached address space. 64-bit kernel mode, current supervisor space ( xksseg ) in kernel mode, when kx = 1 in the status register and bits 63-62 of the 64-bit virtual address are 01 2 , the xksseg virtual address space is selected; it is the current supervisor virtual space. the virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address.
chapter 4 memory management system 4-14 64-bit kernel mode, physical spaces ( xkphys ) in kernel mode, when kx = 1 in the status register and bits 63-62 of the 64-bit virtual address are 10 2 , one of the two unmapped xkphys address spaces are selected, either cached or uncached. accesses with address bits 58-36 not equal to 0 cause an address error. references to this space are not mapped; the physical address selected is taken from bits 35-0 of the virtual address. bits 61-59 of the virtual address specify the cacheability and coherency attributes, as shown in table 4.2.5. table 4.2.5 cacheability and coherency attributes value[61:59] cacheability and coherency attributes starting address 0 cacheable, non-coherent, write-through, no write allocate 0x8000 0000 0000 0000 1 cacheable, non-coherent, write-through, no write allocate 0x8800 0000 0000 0000 2 uncached 0x9000 0000 0000 0000 3 cacheable, non-coherent 0x9800 0000 0000 0000 4-7 reserved 0xa000 0000 0000 0000 64-bit kernel mode, kernel space ( xkseg ) in kernel mode, when kx = 1 in the status register and bits 63-62 of the 64-bit virtual address are 11 2 , the address space selected is one of the following: ? kernel virtual space, xkseg , the current kernel virtual space; th e virtual address is extended with the contents of the 8-bit asid field to form a unique virtual address ? one of the four 32-bit kernel compatibility spaces, as described in the next section. 64-bit kernel mode, compatibility spaces ( ckseg0, ckseg1, cksseg, ckseg3 ) in kernel mode, when kx = 1 in the status register, bits 63-62 of the 64-bit virtual address are 11 2 , and bits 61-31 of the virtual address equal-1, the lower two bytes of address, as shown in figure 4.2.3, select one of the following 512 mbytes compatibility spaces. ? ckseg0 this 64-bit virtual address space is an unmapped region, compatible with the 32-bit address model kseg0 . the k0 field of the config register, described in this chapter, controls cacheability and coherency. ? ckseg1 this 64-bit virtual address space is an unmapped and uncached region, compatible with the 32-bit address model kseg1 . ? cksseg this 64-bit virtual address space is the current supervisor virtual space, compatible with the 32-bit address model ksseg . ? ckseg3 this 64-bit virtual address space is kernel virtual space, compatible with the 32-bit address model kseg3 .
chapter 4 memory management system 4-15 4.3 translation lookaside buffer 4.3.1 joint tlb the processor has a fully associative tlb which maps 48 pairs (odd/even entry) of virtual pages to their corresponding physical addresses. 4.3.2 tlb entry format 32-bit addressing 127 121 120 109 108 96 0 mask 0 95 77 76 75 72 71 64 vpn2 g 0 asid 63 62 61 38 37 35 34 33 32 0 pfn c d v 0 31 30 29 6 5 3 2 1 0 0 pfn c d v 0 64-bit addressing 255 217 216 205 204 192 0 mask 0 191 190 189 168 167 141 140 139 136 135 128 r 0 vpn2 g 0 asid 127 94 93 70 69 67 66 65 64 0 pfn c d v 0 63 30 29 6 5 3 2 1 0 0 pfn c d v 0 mask: page comparison mask. this field sets the variable page size for each tlb entry. vpn2: virtual page number divided by two (maps to two pages) asid: address space id field. r: region. (00: user, 01: supervisor, 11: kernel) used to match vaddr[63:62]. pfn: page frame number; upper bits of the physical address. c: specifies the cache algorithm to be used (see the ?c? field of the entrylo0, 1). d: dirty. if this bit is set, the page is marked as dirty and therefore, writable. this bit is actually a write-protect bit that software can use to prevent alteration of data. v: valid. if this bit is set, it indicates that th e tlb entry is valid. if a cache hit occurs through a tlb entry when this bit is cleared, a tlb invalid exception occurs. g: global. if this bit is set in both lo0 and lo1, then ignore the asid during tlb lookup. 0: reserved. returns zeroes when read.
chapter 4 memory management system 4-16 4.3.3 instruction-tlb the processor has a 2-entry instruction tlb (itlb). each itlb entry is a subset of any single jtlb entry. the itlb is completely invisible to software. 4.3.4 data-tlb the processor has a 4-entry data tlb (dtlb). each dtlb entry is a subset of any single jtlb entry. the dtlb is completely invisible to software. 4.4 virtual-to-physical address translation process during virtual-to-physical address translation, the cpu compares the 8-bit asid (if the global bit, g, is not set) of the virtual address to the asid of the tlb entry to see if there is a match. one of the following comparisons are also made: ? in 32-bit mode, the 7 to 19 bits (depending upon the page size) of the virtual address are compared to the contents of the tlb vpn2 (virtual page number divided by two). ? in 64-bit mode, the 15 to 27 bits (depending upon the page size) of the virtual address are compared to the contents of the tlb vpn2 (virtual page number divided by two). if a tlb entry matches, the physical address and access control bits (c, d, and v) are retrieved from the matching tlb entry. while the v bit of the entry must be set for a valid translation to take place, it is not involved in the determination of a matching tlb entry. figure 4.4.1 illustrates the tlb address translation process.
chapter 4 memory management system 4-17 figure 4.4.1 tlb address translation a ccess cache xtlb refill tlb refill tlb invalid tlb mod uncached? write? 32-bit address? d = 1? v = 1? g = 1? asid match? vpn match? mapped address? legal address? sup mode? user mode? legal address? legal address? for valid address space, see the section describing operating modes virtual address (input) exception exception exception exception a ddress error no ph y sical address ( out p ut ) no no no no no no no no dirt y global yes yes yes yes yes yes yes yes yes yes yes no no yes yes yes no no no a ccess main memor y a ddress error a ddress error vpn and asid
chapter 4 memory management system 4-18 tlb misses if there is no tlb entry that matches the virtual address, a tlb refill exception occurs. (tlb refill exceptions are described in chapter 8.) if the access contro l bits (d and v) indicate that the access is not valid, a tlb modification or tlb invalid exception occurs. if the c bits equal 010 2 , the physical address that is retrieved accesses main memory, bypassing the cache. tlb instructions table 4.4.1 lists the instructions that the cpu provid es for working with the tlb. see appendix a for a detailed description of these instructions. table 4.4.1 tlb instructions op code description of instruction tlbp translation lookaside buffer probe tlbr translation lookaside buffer read tlbwi translation lookaside buffer write index tlbwr translation lookaside buffer write random
chapter 5 cache organization 5-1 5. cache organization this chapter describes in detail the cache memory: its place in the processor memory organization, and individual organization of the caches. this chapter uses the following terminology: ? the data cache may also be referred to as the d-cache. ? the instruction cache may also be referred to as the i-cache. these terms are used intercha ngeably throughout this book. 5.1 memory organization figure 5.1.1 shows the processor system memory hierarchy. in the logical memory hierarchy, both primary and secondary caches lie between the cpu and main memory. they are designed to make the speedup of memory accesses transparent to the user. each functional block in figure 5.1.1 has the capacity to hold more data than the block above it. for instance, physical main memory has a larger capacity than the caches. at the same time, each functional block takes longer to access than any block above it. for instance, it takes longer to access data in main memory than in the cpu on-chip registers. figure 5.1.1 logical hierarchy of memory the processor has two on-chip caches: one holds instructions (the instruction cache), the other holds data (the data cache). the instruction and data caches can be read in one cpuclk cycle. data writes are pipelined and can complete at a rate of one per cpuclk cycle. in the first stage of the cycle, the store address is translated and the tag is checked; in the second stage, the data is written into the data ram. tx49 cpu cpu core core i-cache d-cache caches cache increasing data capacity faster access time memory peripherals main memory disk, cd-rom, tape, etc.
chapter 5 cache organization 5-2 5.2 cache organization this section describes the organization of the on-chip data and instruction caches. figure 5.2.1 provides a block diagram of the processor cache and memory model. figure 5.2.1 processor cache support 5.2.1 cache sizes the processor instruction cache is 32 kbytes; the data cache is 32 kbytes. 5.2.2 cache line lengths a cache line is the smallest unit of information that can be fetched from main memory for the cache, and that is represented by a single tag. (note) the line size for the instruction cache is 8 words (32 bytes) and the line size for the data cache is 8 words (32 bytes). note: cache tags are described in the following sections. 5.2.3 organization of the instruction cache (i-cache) each line of i-cache data (although it is actually an instruction, it is referred to as data to distinguish it from its tag) has an associated 24-bit tag. the processor i-cache has the following characteristics: ? cache size: 32 kb ? four-way set associative ? fifo replacement ? indexed with a virtual address ? checked with a physical tag ? block (line) size: 8 words (32 bytes) ? burst refill size: 8 words (32 bytes) ? lockable on a per-line basis (way1 to way3) ? all valid bits, lock and fifo bits are cleared by a reset exception main memory cache controller i-cache: instruction cache d-cache: data cache d-cache i-cache caches tx4955
chapter 5 cache organization 5-3 5.2.4 instruction cache address field figure 5.2.2 shows the instruction cache address field. figure 5.2.2 instruction cache address field 5.2.5 instruction cache configuration each line in the 4 ways of the instruction cache share f1, f0 replacement bits. figure 5.2.3 shows the format of replacement bits. these bits are shared by way0, way1, way2 and way3 for 32 kb cache, and indicate next set to which replacement will be directed; when lock bit is set to 1, indicate this set is not locked. each line of instruction cache data has an associated 26-bit tag that contains a 24-bit physical address, a single lock bit and a single valid bit, except for the line in way0, which has an 25-bit tag that excludes a lock bit. figure 5.2.4 shows the formats of tag and data pair. figure 5.2.3 format of replacement bits figure 5.2.4 format of tag and data pair for i-cache 10 f1 f0 f0: fifo replace bit 0 f1: fifo replace bit 1 25 0 24 23 0 63 0 63 0 63 0 63 format for way0 0 24 23 0 63 0 63 0 63 0 63 format for way1, 2 and 3 v p tag data data data data v p tag data data data data l l: lock bit (1: enable, 0: disable) v: valid bit (1: valid, 0: invalid) ptag: physical tag (bits 35-12 of the physical address) data: instruction cache data cache tag index (8 bits) 35 12 5 4 3 2 0 physical tag (24 bits ) word (2 bits) 11 byte (3 bits)
chapter 5 cache organization 5-4 5.2.6 organization of the data cache (d-cache) each line of d-cache data has an associated 24-bit tag. the processor d-cache has the following characteristics: ? cache size: 32 kb ? four-way set associative ? fifo replacement ? indexed with a virtual address ? checked with a physical tag ? block (line) size: 8 words (32 bytes) ? burst size: 8 words (32 bytes) ? store buffer ? lockable on a per-line basis (way1 to way3) ? write-back or write-through on a per-page basis ? all write-back, cs, fifo and lock bits are cleared by a reset exception 5.2.7 data cache address field figure 5.2.5 shows the data cache address field. figure 5.2.5 data cache address field 5.2.8 data cache configuration each line in the 4 ways of the data cache share f1, f0 replacement bits. figure 5.2.6 shows the format of replacement bits. these bits are shared by way0, way1, way2 and way3 for 32 kb cache, and indicate next set to which replacement will be directed; when lock bit is set to 1, indicate this set is not locked. each line of data cache data has an associated 28-bit tag that contains a 24-bit physical address, a single lock bit, a single write-back bit and a 2-bit cache state, except for the line in way0, which has an 27-bit tag that excludes a lock bit. figure 5.2.7 shows the formats of tag and data pair. figure 5.2.6 format of replacement bits cache tag index (8 bits) 35 12 5 4 3 2 0 physical tag (24 bits ) word (2 bits) 11 byte (3 bits) 10 f1 f0 f0: fifo replace bit 0 f1: fifo replace bit 1
chapter 5 cache organization 5-5 figure 5.2.7 format of tag and data pair for d-cache in the processor, the w (write-back) bit, not the cache state, indicates when the primary cache contents modified data that must be written back to memory. the states invalid and dirty exclusive are used to describe the cache line. that is, there is no hardware support for cache coherency. 5.3 lock function the lock function can be used to locate critical instruction/data in one instruction/data cache set and they are not replaced when the lock bit is set. 5.3.1 lock bit setting and clearing setting the lock bit in each line cache enable the instruction/data cache lock function. when the lock function is enabled, the instruction/data in the valid line is locked and never be replaced. the set to be locked is pointed by fifo bit. refilled instruction/data during the lock function is enabled is locked. when a store miss occurs for the write-through data cache without write allocate, the store data is not written to the cache and will therefore not be locked. the lock function is disabled by clearing the lock bit in each line. in order to clear or set the lock bit in the cach e, cache instructions (index store i-cache /d-cache tag) can be used, and in order to load the inst ruction/data to cache from memory, another cache instructions (fill i-cache/d-cache) can be used (refer to cache instruction). clear the lock bit as follows when data written to a locked line should be stored in main memory. 1) read the locked data from cache memory 2) clear the lock bit 3) store the data that was read 23 25 26 0 24 23 63 format for way0 00 63 0 63 63 0 25 26 27 0 24 63 format for way1, 2 and 3 00 63 0 63 63 0 w cs ptag data data data data w cs ptag data data data data l l: lock bit (1: enable, 0: disable) w: write-back bit (set if cache line has written) cs: primary cache state (0: invalid, 1: reserved, 2: reserved, 3: valid) ptag: physical tag (bits 35-12 of the physical address) data: data cache data
chapter 5 cache organization 5-6 5.3.2 operation during lock after the lock bit is set for a line, the line can be replaced only when it?s line state is invalid. the locked valid line can never be replaced. fifo bit should point only to the set of locked invalid line or unlocked line. a write access to a locked valid line takes place only to the cache not to the memory at write-back mode. both of the cache and the memory are replaced at write-through mode. 5.3.3 example of data cache locking during the load operation to the locked line of the cache, any interrupt should be disabled in order to avoid to lock the wrong data. to lock data cache lines, the following sequence of codes could be used. ....................... /* disable the interrupt */ mtc0 t0, taglo /* load data into taglo reg */ cache 2 (d), offset (base) /* invalidate and lock line in desired set using index_store_tag cache instruction */ cache 7 (d), offset (base) /* fill the cache line from desired memory location */ ....................... /* enable the interrupt */ 5.3.4 example of instruction cache locking to lock instruction cache lines, the following sequence of codes could be used: ....................... /* disable the interrupt */ mtc0 t0, taglo /* load data into taglo reg */ cache 2 (i), offset (base) /* invalidate and lock line in desired set using index_store_tag cache instruction */ cache 5 (i), offset (base) /* fill the cache line from desired memory location */ ....................... /* enable the interrupt */
chapter 5 cache organization 5-7 5.4 the primary cache accessing figure 5.4.1 shows the virtual address (va) index to th e primary cache. instruction cache size is 32 kb and data cache size is 32 kb. the virtual address bits be used to index into the primary cache decided by the cache size. figure 5.4.1 primary cache data and tag organization 5.5 cache states the section describes about the state of a cache line. the cache lines in the processor are in one of states described in table 5.5.1. the i-cache line is in one of the following states: ? invalid ? valid the d-cache line is in one of the following states: ? invalid ? valid table 5.5.1 cache states cache line state description invalid a cache line that does not contain valid information must be marked invalid, and cannot be used. a cache line in any other state than invalid is assumed to contain valid information. valid a cache line contains valid information. the cache line may or not be consistent with memory and is owned by the processor (see ?cache line ownership? in this chapter). tags tag line data data line instruction cache: va[12:5] data cache: va[12:5] stage wtag va[12:5] va[12:5] 64
chapter 5 cache organization 5-8 5.6 cache line ownership the processor becomes the owner of a cache line after it writes to that cache line (that is, by entering the dirty exclusive), and is respons ible for providing the contents of that li ne on a read request. there can only be one owner for each cache line. 5.7 cache multi-hit operation the processor is not guaranteed the operation for the multi-hit of primary cache. thus, in case of locking the specified program/data in the primary cache, the program/data must be used after locked in the cache by fill instruction. 5.8 fifo replacement algorithm the instruction and data caches in the processor use the fifo replacement algorithm. ? usually, cache elements are replaced in this order: way0, way1, way2, way3. ? the fifo[1:0] replacement bits do not point to a locked, valid cache line. ? data is first written to a cache line marked invalid, if any. ? the fifo replacement bits change every time memory data is written to the cache or a cache instruction is executed. figure 5.8.1 shows several examples of how the fifo replacement bits change. figure 5.8.1 examples of cache state transitions by the fifo replacement algorithm a) way0 invalid way1 invalid way2 invalid way3 invalid b) c) d) way0 invalid way1 invalid lock way2 invalid way3 invalid way0 invalid way1 invalid way2 valid way3 invalid way0 invalid way1 valid lock way2 invalid way3 valid e) f) way0 invalid way1 valid lock way2 valid lock way3 valid lock way0 valid way1 valid way2 valid lock way3 valid
chapter 5 cache organization 5-9 5.9 cache testing 5.9.1 cache disabling the ice# and dce# bits in the config register en able and disable the instruction and data caches respectively. when the cache is disabled, any attempt to access the cache causes a cache miss; therefore, a cache refill does not occur. (a burst bus cycle does not occur, either, as is the case with an access to an uncached memory space.) with the cache disabled, the valid (v) and cache state (cs) bits for each entry remain unchanged. note: when the instruction cache is disabled ? all instruction fetches cause an instruction cache miss. external memory accesses will occur as a single-read operation. ? instruction cache operations by the cache instruction are valid. note: when the data cache is disabled ? all memory accesses by the load and store instructions cause a data cache miss. at this time, no cache refill occurs. external memory accesses will occur as a single-read or single-write operation. ? data cache operations by the cache instruction are valid. note: how to disable the instruction cache reliably ? to disable the instruction cache, stop instruction streaming by following the mtc0 instruction with a jump instruction, as shown below: example: mtc0 rn, config (set ice# bit.) j l1 (jump to l1 and stop streaming.) nop (jump delay slot) l1: cache indexinvalidate, offset (base) 5.9.2 cache flushing both the instruction and data caches are flushed by a coldreset or warmreset exception. i.e., all the valid and cs bits are cleared to zeroes. the instruction cache is also flushed by the index invalidate and hit invalidate operations with a cache instruction. the data cache is flushed by the hit invalidate operation with a cache instruction. data is written back to the main memory when an index writeback invalidate or hit writeback invalidate operation is performed, when a hit writebac k operation is performed, and when a cache line is replaced. when the write-back policy is employed, it is required to consciously maintain cache coherency when flushing the cache.
chapter 5 cache organization 5-10 5.10 cache operations as described earlier, caches provide fast temporary da ta storage, and they make the speedup of memory accesses transparent to the user. in general, the processor accesses cache-resident instructions or data through the following procedure: 1. the processor, through the on-chip cache controller, attempts to access the next instruction or data in the appropriate cache. 2. the cache controller checks to see if this instruction or data is present in the cache. ? if the instruction/data is present, the processor retrieves it. this is called a cache hit . ? if the instruction/data is not present in the cache, the cache controller must retrieve it from memory. this is called a cache miss . 3. the processor retrieves the instruction/data from the cache and operation continues. it is possible for the same data to be in two places simultaneously: main memory and cache. this data is kept consistent through the use of a write-back methodology; th at is, modified data is no t written back to memory until the cache line is to be replaced. instruction and data cache line replacement operations ar e listed in described as the following sections. table 5.10.1 cache instruction name caches operation index invalidate instruction sets the cache state of cache block to invalid. index write back invalidate data examines cache state, if valid dirty, then that block is written back to main memory. then the cache block is set to invalid. index load tag instruction & data read the tag for the cache block at the specified index and place it into taglo. index store tag instruction & data write the tag for the cache block at the specified index from the taglo and taghi register. create dirty exclusive data if the cache does not contain the specified address, and the block is valid dirty the block will be written back to main memory. then the tag will be set to the specified physical address and will be marked valid. hit invalidate instruction & data if the cache block contains the specified address, cache block will be marked invalid. hit write back invalidate data if the cache block contains the specified address, and it is valid dirty, the data will be written back to main memory. then, the cache block is marked invalid. fill instruction fill the instruction cache block from main memory. fill data fill the data cache block from memory. hit write back data if the cache block contains the specified address, and it is marked valid dirty, the block will be written back to main memory, and marked valid clean.
chapter 5 cache organization 5-11 5.10.1 cache write policy the processor manages its data cache by using a write-back and a write-through policy. a write-back stores write data into the cache, instead of writing it directly to memory. some time later this data is independently written into memory. in the processor im plementation, a modified cache line is not written back to memory until the cache line is to be replaced either in the course of satisfying a cache miss, or during the execution of a write-back cache instruction. when the processor writes a cache line back to memory, it does not ordinarily retain a copy of the cache line, and the state of the cache line is changed to invalid. a write-through is written simultaneously to cache and memory. selection of a write policy is done by the k0 bit in the config register (reg#16) for the kseg0 segment. for the other segments, selection of a write policy is done by the c bits in each tlb entry. 5.10.2 data cache line replacement since the data cache uses a write-back and a write-th rough methodology, a cache line load is issued to main memory on a load or store miss, as described below. after the data from memory is written to the data cache, the pipeline resumes execution. the processor does not support ?critical data word first?. always it transfer the data of first address. the data cache miss penalties, in number of cpuclk cycles, are given in table 5.10.2. table 5.10.2 data cache miss penalty cycle count number of cpuclk cycles action 1 stall the dc stage. 1 transfer address to the write buffer and wait for the pipeline start signal 1-2 transfer address to the internal sysad bus on the gbusclk. 2 transfer to the external sysad bus. m time needed to access memory, measured in cpuclk cycles. 4 transfer the cache line form memory to the sysad bus. 2 transfer the cache line from the external bus to the internal bus. 0 restart the dc stage.
chapter 5 cache organization 5-12 5.10.3 instruction cache line replacement for an instruction cache miss, refill is done using se quential ordering, starting from the first word of the retrieved cache line. during an instruction cache miss, a memory read is issued. the requested line is returned from memory and written to the instruction cache. at this time the pipeline resumes execution, and the instruction cache is reaccessed. the replacement sequence for an instruction cache miss is: 1. move the instruction physical address to the processor pads. 2. wait for a cpuclk cycle, aligned with a gbusclk boundary, to occur. 3. read the line from memory and write it out to the instruction cache array. 4. restart the processor pipe. the instruction cache miss penalties, in number of cpuclk, is given in table 5.10.3. table 5.10.3 instruction cache miss penalty cycle count number of cpuclk action 1 stall the rf stage. 1 transfer address to the write buffer and wait for the pipeline start signal. 1-2 transfer to the external sysad bus. 2 transfer to the external sysad bus. m time needed to access memory, measured in cpuclk cycles. 8 transfer the cache line from memory to the sysad bus. 2 transfer the cache line from the external bus to the internal bus. 0 restart the rf stage. 5.11 manipulation of the caches by an external agent the processor does not provide any mechanisms for an external agent to examine and manipulate the state and contents of the caches.
chapter 6 write buffer 6-1 6. write buffer the processor contains a write buffer to improve the pe rformance of writes to the external memory. every write to external memory uses this on-chip write buffer. the write buffer holds up to four 64-bit address and data pairs. for a cache miss write-back, the entire buffer is used for the write-back data an d allows the processor to proceed in parallel with the memory update. for uncach ed and write-through stores, the write buffer uncouples the cpu from the write to memory. if the write buffer is full, additional stores will stall until there is room for them in the write buffer. the processor core might issue a read request while the write buffer is performing a write operation. multiple read/write operations are serviced in the following order: ? if there is only a write request, the data in the write buffer is written to an external device. ? if there is only a read request, a read operation is performed to bring in data from an external device. ? if a read request and a write request occur simultaneously, the read request is serviced first, except for the following cases: ? when the processor issues a read request to the target address of one of the write buffer entries ? when the processor issues an uncacheable read reference while the write buffer has uncacheable write data the bc0t and bc0f instructions can be used to determine whether any data is present in the write buffer: ? if there is data in the write buffer, the coprocessor condition signal is false (0). ? if there is no data in the write buffer, the coprocessor condition signal is true (1). following is the assembly language code to freeze th e processor until the write buffer becomes empty. sw nop nop loop: bc0f loop nop the following sequence of instructions also causes the processor to perform the same action. appended to a store instruction, the sync instruction ensures that the store instruction initiated prior to this instruction is completed before any instruction after this instruction is allowed to start. sw sync
chapter 6 write buffer 6-2
chapter 7 debug support unit 7-1 7. debug support unit 7.1 features 1. tx4955 can provide various kinds of execution controls and access to registers of the processor core through ejtag interface implemented by the debug support unit inside the processor core. 2. supports dma access through ejtag interface to internal processor bus to access system memory. 3. debug functions ? instruction address break ? data bus break ? processor bus break ? hardware debug interrupt ? reset, nmi, interrupt mask 7.2 ejtag interface this interface consists of external signals for a run time mode. the run time mode provides functions such as processor run, stop, single step, and access to internal registers and system memory. about tx4955 ejtag interface signals, see table 2.2.7 ?ejtag interface? on chapter 2.
chapter 7 debug support unit 7-2 7.3 debug unit 7.3.1 extended instructions ? sdbbp ? deret ? ctc0 ? cfc0 7.3.2 extended debug registers in cp0 ? debug register ? depc register ? desave register 7.4 register map table 7.4.1 register map address mnemonic description 0xf ff30 0000 dcr debug control register 0xf ff30 0008 ibs instruction break status 0xf ff30 0010 dbs data break status 0xf ff30 0018 pbs processor break status 0xf ff30 0100 iba0 instruction break address 0 0xf ff30 0108 ibc0 instruction break control 0 0xf ff30 0110 ibm0 instruction break address mask 0 0xf ff30 0300 dba0 data break address 0 0xf ff30 0308 dbc0 data break control 0 0xf ff30 0310 dbm0 data break address mask 0 0xf ff30 0318 db0 data break value 0 0xf ff30 0600 pba0 processor bus break address 0 0xf ff30 0608 pbd0 processor bus break data 0 0xf ff30 0610 pbm0 processor bus break mask 0 0xf ff30 0618 pbc0 processor bus break control 0 7.5 processor bus break function this function is to monitor the interface to core and provide debug interruption or trace trigger for a given physical address and data. 7.6 debug exception three kinds of debug exception are supported. ? debug single step (dss bit) ? debug breakpoint exception (sdbbp instruction) ? jtag break exception (jtagbrk bit in jtag_control_register)
chapter 8 cpu exception 8-1 8. cpu exception 8.1 introduction this chapter describes the explanation of cpu exception processing. the chapter concludes with a description of each exception?s cause, together with the manner in which the cpu processes and services these exceptions. 8.2 exception vector locations exception vector addresses are stored in an area of kseg0 or kseg1 except for debug exception vector. the vector address of the coldreset, softreset and nmi exception is always in a non-cacheable area of kseg1. vector addresses of the other exceptions depend on the bev bit of status register. when bev is 0, these exceptions are vectored to a cacheable area of kseg0. when bev is 1, all vector addresses are in a non-cacheable area of kseg1. table 8.2.1 shows the list of the exception vector locations. table 8.2.1 exception vector locations exception vector address (virtual address) (bev = 0) (bev = 1) coldreset, softreset, nmi 0xffff_ffff_bfc0_0000 0xffff_ffff_bfc0_0000 tlb refill, exl = 0 0xffff_ffff_8000_00 00 0xffff_ffff_bfc0_0200 xtlb refill, exl = 0 (x = 64-bit tlb) 0xffff_ffff_8000_00 80 0xffff_ffff_bfc0_0280 others (common except ion) 0xffff_ ffff_8000_0180 0xffff_ffff_bfc0_0380 exception vector address (physical address) (bev = 0) (bev = 1) coldreset, softreset, nmi 0x0_1fc0_0000 0x0_1fc0_0000 tlb refill, exl = 0 0x0_0000_0000 0x0_1fc0_0200 xtlb refill, exl = 0 (x = 64-bit tlb) 0x0_0000_0080 0x0_1fc0_0280 others (common exception) 0x0_0000_0180 0x0_1fc0_0380 the cache error exception is not occurred because the tx4955 does not have the parity bit into the primary cache. debug exception needs the care, it has the special address. table 8.2.2 shows the list of the debug exception vector locations. table 8.2.2 debug exception vector locations exception debug exception vector address (virtual address) (probenb = 0) (probenb = 1) debug 0xffff_ffff_bfc0_ 0400 0x ffff_ffff_ff2 0_0200 exception debug exception vector address (physical address) (probenb = 0) (probenb = 1) debug 0x0_1fc0_0400 0xf_ff20_0200
chapter 8 cpu exception 8-2 8.3 priority of exception more than one exception may be raised for the same instruction, in which case only the exception with the highest priority is reported. the tx4955 processor core inst ruction exception priority is shown in table 8.3.1. table 8.3.1 priority of exception priority exception mnemonic coldreset softreset nmi address error inst. fetch adel tlb refill inst. fetch tlbl tlb invalid inst. fetch tlbl bus error inst. fetch ibe integer overflow, trap, system call, breakpoint, reserved instruction, coprocessor unusable, or floating-point exception ov, tr, sys, bp, ri, cpu, fpe address error data access adel/ades tlb refill data access tlbl/tlbs tlb invalid data access tlbl/tlbs tlb modified data write mod bus error data access dbe high low interrupt int general exceptions (i.e., exceptions other than debug exceptions) are prioritized as follows: 1. if more than one exception condition occurs for a single instruction or a single cycle, only the exception with the highest priority is reported, as shown in table 8.3.1 (from highest to lowest priority). 2. if two instructions cause exception conditions in the m and e stages of the pipeline simultaneously, the instruction in the m stage causes the processor to take an exception. 3. when 64-bit instructions are executed in 32-bit mode, the reserved instruction (ri) exception can occur simultaneous with other ex ception, as shown below. in that case, the ri exception is given precedence. ? ri and cpu ? ri and ov ? ri and adel/s (data) ? ri and tlbl/s (data) general and debug exceptions are prioritized as follows: 1. if a general exception condition and a debug exception condition occur for a single instruction, the debug exception is serviced first, and then the general exception is serviced. 2. if two instructions cause exception conditions in the m and e stages of the pipeline simultaneously, only the instruction in the m stage generates an exception.
chapter 8 cpu exception 8-3 8.4 coldreset exception 8.4.1 cause this coldreset exception occurs when the coldreset* signal is asserted and then deasserted. this exception is not maskable. 8.4.2 processing a special interrupt vector that resides in an unmapped and uncached area is used. it is therefore not necessary for hardware to initialize tlb and cache memo ry in order to process this exception. the vector location of this exception is: ? in 32-bit mode, 0xbfc0 0000 (virtual address), 0x1fc0_0000 (physical address) ? in 64-bit mode, 0xffff ffff bfc0 0000 (virtual address), 0x1fc0_0000 (physical address) the most register?s contents are cleared when this ex ception occurs. the values of these bits are listed into the table of chapter 3. valid bits, lock bits and fifo replacement bits in the instruction cache are all cleared to 0. w bits, cs bits, lock bits and fifo replacement bits in the data cache are all cleared to 0. if a coldreset exception occurs during bus cycle, the current bus cycle is aborted and an exception is taken. 8.4.3 servicing the coldreset exception is serviced by; ? initializing all registers, coprocessor registers, caches and the memory system ? performing diagnostic tests ? bootstrapping the operating system
chapter 8 cpu exception 8-4 8.5 softreset exception 8.5.1 cause this softreset exception occurs when the reset* signal is asserted and then deasserted. this exception is not maskable. 8.5.2 processing a special interrupt vector that resides in an unmapped and uncached area is used. it is therefore not necessary for hardware to initialize tlb and cache memory in order to process this exception. the vector location of this exception is: ? in 32-bit mode, 0xbfc0 0000 (virtual address), 0x0_1fc0_0000 (physical address) ? in 64-bit mode, 0xffff ffff bfc0 0000 (virtual address), 0x0_1fc0_0000 (physical address) all register contents are retained except for the following. ? errorepc register, which contains the restart pc if the exception-causing instruction is in a branch delay slot, the errorepc register points at the preceding branch instruction. ? erl, sr and bev bits of status register, which are set to 1 because softreset exception can abort cache and bus operations, cache and memory state is undefined when this exception occurs. 8.5.3 servicing the softreset exception is serviced by saving the current processor state for diagnostic purposes, and reinitializing for the coldreset exception.
chapter 8 cpu exception 8-5 8.6 nmi (non-maskable interrupt) exception 8.6.1 cause the nmi (non-maskable interrupt) exception occurs at the falling edge of the nmi* signal. this interrupt is not maskable, and occurs regardless of the exl, erl and ie bits of the status register. 8.6.2 processing the same special interrupt vector as for coldreset/softreset exception (virtual address: 0xbfc0_0000/ 0xffff_ffff_bfc0_0000). this vector is located with in unmapped and uncached area so that the cache and tlb need not be initialized to process this exception . when this exception occurs, the sr bit of status register is set. because nmi exception can occur in the midst of another exception, it is not normally possible to continue program execution after servicing nmi exception. unlike the coldreset/softreset exception, but like other exceptions, this exception occurs at an instruction boundary. the state of the primary cache and memory system are preserved by this exception. all register contents are retained except for the following. ? errorepc register, which contains the restart pc if the exception-causing instruction is in a branch delay slot, the errorepc register points at the preceding branch instruction. ? erl, sr and bev bits of the status register, which is set to 1. 8.6.3 servicing the nmi exception is serviced by saving the cu rrent processor state for diagnostic purposes, and reinitializing the system for the coldreset exception.
chapter 8 cpu exception 8-6 8.7 address error exception 8.7.1 cause the address error exception occurs when an atte mpt is made to execute one of the following. ? load or store a doubleword that is not aligned on a doubleword boundary ? load, fetch or store a word that is not aligned on a word boundary ? load or store a halfword that is not aligned on a halfword boundary ? reference kernel mode address while in user or supervisor mode ? reference supervisor mode address while in user mode this exception is not maskable. 8.7.2 processing the common exception vector (virtual addr ess: 0x8000_0180/0xffff_ffff_8000_0180) is used. exccode adel or ades in cause register is set depending on whether the memory access attempt was a load or store. when this exception is raised, the misalign virtual address causing the exception, or the protected virtual address that was illegally referenced, is placed in badvaddr register. the contents of the vpn field of context and entryhi registers are undefined, as are the contents of entrylo register. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.7.3 servicing the process executing at the time is handed a segmentation violation signal. this error is usually fatal to the process incurring the exception.
chapter 8 cpu exception 8-7 8.8 tlb refill exception 8.8.1 cause the tlb refill exception occurs when there is no tl b entry to match a reference to a mapped address. this exception is not maskable. 8.8.2 processing there are two special exception vectors for this exception; one for references to 32-bit virtual address, and one for references to 64-bit virtual address. the kx, sx and ux bits of status register determine whether the user, supervisor or kern el address referenced are 32-bit mode or 64-bit mode. when exl bit of status register is set to 0, all references use these vectors. when this exception occurs, tlbl or tlbs code is set in the exccode field of cause register. this code indicates whether the instruction, as shown by epc register and bd bit of cause register, caused the miss by an instruction reference, load operation, or store operation. when this exception occurs: ? badvaddr, context, xcontext and entryhi registers hold the virtual address failed address translation ? entryhi register contains asid from which the translation fault occurred, too ? a valid address in which to place the replacement tlb entry is contained into random register ? the contents of entrylo register are undefined if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.8.3 servicing to service this exception, the contents of the context or xcontext register are used as a virtual address to fetch memory locations containing the physical page frame and access control bits for a pair of tlb entries. the two entries are placed into the entrylo0/entrylo1 register; the entryhi and entrylo registers are written into the tlb. it is possible that the virtual address used to obtain the physical address and access control information is on a page that is not resident in the tlb. this condition is processed by allowing a tlb refill exception in the tlb refill handler. this exception goes to the common exception vector because the exl bit of the status register is set.
chapter 8 cpu exception 8-8 8.9 tlb invalid exception 8.9.1 cause the tlb invalid exception occurs when a virtual address reference matches a tlb entry that is marked invalid (tlb valid bit cleared). this exception is not maskable. 8.9.2 processing the common exception vector is used for this exce ption. when this exceptio n occurs, tlbl or tlbs code is set in the exccode field of cause register. th is code indicates whether the instruction, as shown by epc register and bd bit of cause register, caused the miss by an instruction reference, load operation, or store operation. when this exception occurs: ? badvaddr, context, xcontext and entryhi registers hold the virtual address failed address translation ? entryhi register contains asid from which the translation fault occurred, too ? a valid address in which to place the replacement tlb entry is contained into random register ? the contents of entrylo register are undefined if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.9.3 servicing a tlb entry is typically marked invalid when one of the following is true: ? a virtual address does not exist ? the virtual address exists, but is not in main memory (a page fault) ? a trap is desired on any reference to the page (for example, to maintain a reference bit or during debug) after servicing the cause of a tlb invalid exception, the tlb entry is located with tlb probe (tlbp) instruction, and replaced by an entry with that entry?s valid bit set.
chapter 8 cpu exception 8-9 8.10 tlb modified exception 8.10.1 cause the tlb modified exception occurs when a store operation virtual address reference to memory matches a tlb entry that is marked valid but is not dirty and therefore is not writable. this exception is not maskable. 8.10.2 processing the common exception vector is used for this exception, and mod code in cause register is set. when this exception occurs: ? badvaddr, context, xcontext and entryhi registers hold the virtual address failed address translation ? entryhi register contains asid from which the translation fault occurred, too ? the contents of entrylo register are undefined if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.10.3 servicing the kernel uses the failed virtual address or virt ual page number to identify the corresponding access control information. the page identified may or may not permit write accesses; if writes are not permitted, a write protection violation occurs. if write accessed are permitted, the page frame is marked dirty/writable by the kernel in its own data structures. the tlb probe (tlbp) instruction places the index of the tlb entry that must be altered into the index register. the entrylo register is loaded with a word containing the physical page frame and access control bits (with the d bit set), and the entryh i and entrylo registers are written into the tlb.
chapter 8 cpu exception 8-10 8.11 bus error exception 8.11.1 cause this bus error exception occurs when any of the following errors is detected during. memory read responsing on sysad bus by ?instruction fetch cycle? or ?data read cycle? 1. error data is reported by the syscmd 2. parity errors are detected 3. time-out error is detected table 8.11.1 shows the condition of bus error exception. table 8.11.1 condition of bus error exception operation mode error data parity error time-out error r5000 type protocol syscmd[5] =1 (when this error data bit is set to 1 on the sysad bus) syscmd[4] =1 and any parity bit of sysadc[7:0] is set to 1 n.a r4300 type protocol syscmd[1] =1 (when this error data bit is set to 1 on the sysad bus) n.a g2sconfig register[3] (toutenable) = 1 and g2sconfig register[19:4] (toutval) = 0 8.11.2 processing the common interrupt vector is used for a bus erro r exception. the ibe or dbe code in the exccode field of the cause register is set, signifying whethe r the instruction (as indicated by the epc register and bd bit in the cause register) caused the exception by an instruction reference, load operation, or store operation. the epc register contains the address of the instruction that caused the exception, unless it is in a branch delay slot, in which case the epc register contains the address of the preceding branch instruction and the bd bit of the cause register is set to 1. 8.11.3 servicing the physical address at which the fault occurred ca n be computed from information available in the cp0 registers. ? if the ibe code in the cause register is set (indicating an instruction fetch reference), the virtual address is contained in the epc register (or 4+ the contents of the epc register if the bd bit of the cause register is set). ? if the dbe code is set (indicating a load or store reference), the instruction that caused the exception is located at the virtual address contained in the epc register (or 4 + the contents of the epc register if the bd bit of the cause register is set).
chapter 8 cpu exception 8-11 the virtual address of the load and store reference can then be obtained by interpreting the instruction. the physical address can be obtained by using the tlb probe (tlbp) instruction and reading the entrylo register to compute the physical page number. the process executing at the time of this exception is handed a bus error signal, which is usually fatal. note: the bus error treats only the read bus cycle because the tx4955 supports the write buffer. because the tx4955 supports the non-blocking load and the streaming, please stop the program counter by sync instruction or the depending registers instruction, for using epc register to return from this exception.
chapter 8 cpu exception 8-12 8.12 integer overflow exception 8.12.1 cause the integer overflow exception occurs when add, addi, sub, dadd, daddi or dsub instruction results in a 2?s complement overflow. this exception is not maskable. 8.12.2 processing the common exception vector is used for this except ion, and the ov code in cause register is set. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.12.3 servicing the process executing at the time of the exception is handed a floating-point exception signal or integer overflow signal. this error is usua lly fatal to the current process.
chapter 8 cpu exception 8-13 8.13 trap exception 8.13.1 cause the trap exception occurs when tge, tgeu, tlt, tltu, teq, tne, tgei, tgeiu, tlti, tltiu, teqi or tnei instruction resu lts in a true condition. this exception is not maskable. 8.13.2 processing the common exception vector is used for this exception, and the tr code in cause register is set. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.13.3 servicing the process executing at the time of a trap exception is handed a floating-point exception signal or integer overflow signal. this error is usually fatal.
chapter 8 cpu exception 8-14 8.14 system call exception 8.14.1 cause the system call exception occurs during an atte mpt to execute the syscall instruction. this exception is not maskable. 8.14.2 processing the common exception vector is used for this exception, and the sys code in cause register is set. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the syscall instruction. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register, and bd bit of cause register is set to 1. 8.14.3 servicing when this exception occurs, control is transf erred to the applicable system routine. to resume execution, the epc register must be altered so that the syscall instruction does not re-execute; this is accomplished by adding a value of 4 to the epc register (epc register + 4) before returning. if a syscall instruction is in a branch delay slot, a more complicated algorithm, beyond the scope of this description, may be required.
chapter 8 cpu exception 8-15 8.15 breakpoint exception 8.15.1 cause the breakpoint exception occurs when an attempt is made to execute the break instruction. this exception is not maskable. 8.15.2 processing the common exception vector is used for this exception, and the bp code in cause register is set. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the break instruction. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register, and bd bit of cause register is set to 1. 8.15.3 servicing when the breakpoint exception occurs, control is transferred to the applicable system routine. additional distinctions can be mode by analyzing the unused bits of the break instruction (bits 25-6), and loading the contents of the instruction whose address the epc register contains. a value of 4 must be added to the contents of the epc register (epc register + 4) to locate the instruction if it resides in a branch delay slot. to resume execution, the epc register must be altered so that the break instruction does not re-execute; this is accomplished by adding a value of 4 to the epc register (epc register + 4) before returning. if a break instruction is in a branch delay slot, interpretation of the branch instruction is required to resume execution.
chapter 8 cpu exception 8-16 8.16 reserved instruction exception 8.16.1 cause the reserved instruction exception occurs when one of the following condition occurs: ? an attempt is made to execute an instruction with an undefined major opcode (bits 31-26) ? an attempt is made to execute a special instruction with an undefined minor opcode (bits 5-0) ? an attempt is made to execute a regimm inst ruction with an undefined minor opcode (bits 20-16) ? an attempt is made to execute 64-bit operations in 32-bit mode when in user or supervisor modes ? an attempt is made to execute a copz rs in struction with an undefined minor opcode (bits 25-21) ? an attempt is made to execute a copz rt instruction with an undefined minor opcode (bits 20-16) 64-bit operations are always valid in kernel mode regardless of the value of the kx bit in status register. this exception is not maskable. 8.16.2 processing the common exception vector is used for this exception, and the ri code in cause register is set. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and the bd bit of cause register is set to 1. 8.16.3 servicing no instruction in the mips isa are currently interpreted. the process executing at the time of this exception is handed an illegal instruction/reserved operand fault signal. this error is usually fatal.
chapter 8 cpu exception 8-17 8.17 coprocessor unusable exception 8.17.1 cause the coprocessor unusable exceptio n occurs when an attempt is made to execute a coprocessor instruction for either. ? attempting to execute a coprocessor cpz instruction when its corresponding cuz bit in status register. ? in user or supervisor mode attempting to execute a cp0 instruction when cu0 bit is cleared to 0. (in kernel mode, an exception is not raised when a cp0 instruction is issued , regardless of the cu0 bit setting) ? an attempt is made to execute a fp u instruction in tx49 without fpu 8.17.2 processing the common exception vector is used for this exception, and the cpu code in cause register is set. the coprocessor number referred to at the time of the exception is stored in cause register ce (coprocessor error) field. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and bd bit of cause register is set to 1. 8.17.3 servicing the coprocessor unit to which an attempted reference was mode is identified by the coprocessor usage error field (ce) of cause register, which results in one of the following situations: ? if the process is entitled access to the coprocessor, the coprocessor is marked usable and the corresponding user state is restored to the coprocessor. ? if the process is entitled access to the coprocessor, but the coprocessor does not exist or has failed, interpretation of the coprocessor instruction is possible. ? if the bd bit is set in the cause register, the branch instruction must be interpreted; then the coprocessor instruction can be emulated and execution resumed with the epc register advanced past the coprocessor instruction. ? if the process is not entitled access to the coprocessor, the process executing at the time is handed an illegal instruction/privileged instruction fault signal. this error is usually fatal.
chapter 8 cpu exception 8-18 8.18 floating-point exception 8.18.1 cause the floating-point exception is used by the floating- point coprocessor. this exception is not maskable. 8.18.2 processing the common exception vector is used for this exceptio n, and the fpe code in cause register is set. the contents of the floating-point control/status register indicate the cause of this exception. if exl bit of status register is only set to 0, the following operation is executed. epc register points to the address of the instruction causing the exception. if, however, the affected instruction was in the branch delay slot (for execution during a branch), the immediately preceding branch instruction address is retained in epc register and the bd bit of cause register is set to 1. 8.18.3 servicing this exception is cleared by clearing the appropriate bit in the floating-point control/status register. for an unimplemented instruction exception, the kernel should emulate the instruction; for other exceptions, the kernel should pass the exception to the user program that caused the exception.
chapter 8 cpu exception 8-19 8.19 interrupt exception 8.19.1 cause the interrupt exception is raised by any of eight interrupts (two software and six hardware). a hardware interrupt is raised when int[5:0]* signal goes active. a software interrupt is raised by setting the ip[1]/ip[0] bit in cause register. the significance of these interrupts is dependent upon the specific system implementation. each of the eight interrupts can be masked individually by clearing its corresponding bit in the im(interrupt mask) field of status register, and all interrupts can be masked at once by clearing ie bit of status register to 0. 8.19.2 processing the common exception vector is used as following: ? in 32-bit mode, 0x8000 0180 (bev = 0) 0xbfc0 0380 (bev = 1) ? in 64-bit mode, 0xffff ffff 8000 0180 (bev = 0) 0xffff ffff bfc0 0380 (bev = 1 when the processor is in the doze or halt operation mode, it is returned to the normal operation mode by an interrupt. 8.19.3 servicing if the interrupt is caused by one of the two software -generated exceptions (sw1 or sw0), the interrupt condition is cleared by setting the corresponding cause register bit to 0. if the interrupt is hardware-generated, the interrupt condition is cleared by correcting the condition causing the interrupt pin to be asserted. if the timer interrupt is caused, the interrupt conditi on is cleared by changing the value of the compare register or setting the corresponding cause register bit (ip[7]) to 0. interrupts are not acceptable when the settings of the status register are exl = 1 and erl = 1. note: due to the write buffer, a store to an external device will not necessary occur until after other instructions in the pipeline finish. thus, the user must ensure that the store will occur before the return from exception instruction (eret) is executed otherwise the interrupt may be serviced again even though there should be no interrupt pending.
chapter 8 cpu exception 8-20 8.20 exception handling and servicing flowcharts the remainder of this chapter contains flowcharts for the following three sets of. exceptions and guidelines for their handlers: 1. general exceptions and their exception handler ? figure 8.20.1 shows gene ral exception handler (hw) ? figure 8.20.2 shows general exception servicing guidelines (sw) 2. tlb/xtlb miss exception and their exception handler ? figure 8.20.3 shows tlb/xtlb miss exception handler (hw) ? figure 8.20.4 shows tlb/xtlb exception servicing guidelines (sw) 3. coldreset, softreset and nmi exceptions, and a guideline to their handler. ? figure 8.20.5 shows coldreset, softreset & nmi exception handling (hw) and servicing guidelines (sw) generally speaking, the exceptions are handled by hardware (hw); the exceptions are then serviced by software (sw). figure 8.20.1 general exception handler (hw) exl 1 pc + 180 (unmapped, uncached) pc 0xffff ffff 8000 0000 + 180 (unmapped, cached) cause 31 (bd) 0 epc pc cause 31 (bd) 1 epc (pc - 4) = = = comments to general exception servicing guidelines bev instr. in br. dly. slot? exl (sr1) check if exception within another exception fp control/status register is only set if the respective exception occurs. enhi, x/context are set only for * tlb- invalid, modified, & refill exceptions badva is set only for tlb-invalid, modified, and refill exceptions note: not set if it is a bus error set fp control status resister enhi vpn2, asid x/context vpn2 set cause register (exccode, ce) set badva = 0 (normal)
chapter 8 cpu exception 8-21 figure 8.20.2 general exception servicing guidelines (sw) save the context comments * treat in unmapped area * save the context (status, cause, x/context, epc, etc.) to stack area * a void all other exceptions except to nmi, soft reset and cold reset until saving the context * check exccode field of cause register * check the interrupt cause from ip field of cause register and im field of status register * negate the current interrupt cause in the service routine * set 1 to exl field of status register, and disable interrupt on kernel mode * restore the saved registers * close the exception handler by eret instruction check of interrupt cause yes exception cause is interrupt? interrupt disabled eret service routine of each exception no set status register (ksu 00, exl 0, ie = 1) restore context interrupt enabled service routine of each interrupts
chapter 8 cpu exception 8-22 figure 8.20.3 tlb/xtlb miss exception handler (hw) vector offset = 0x180 vector offset = 0x000 vector offset = 0x080 exl 1 enhi vpn2, asid x/context vpn2 set cause reg. exccode, ce and set badva enhi vpn2, asid x/context vpn2 set cause reg. exccode, ce and set badva to tlb/xtlb exception servicing guidelines instr. in br. dly. slot? exl (sr bit 1) exl (sr bit 1) xtlb exception? epc pc cause bit 31 (bd) 0 epc (pc-4) cause bit 31 (bd) 1 bev (sr bit 22) pc 0xffff ffff 8000 0000 + vec. off. (unmapped, cached) pc 0xffff ffff bf00 0200 + vec. off. (unmapped, uncached) = = = = = 1 check if exception within another exception
chapter 8 cpu exception 8-23 figure 8.20.4 tlb/xtlb miss exception servicing guidelines (sw) eret service code mfc0 - context comments * eret is not allowed in the branch delay slot of another jump instruction * processor does not execute the instruction which is in the eret?s branch delay slot * pc epc; exl = 0 * llbit 0 * load the mapping of the virtual address in context reg. move it to enlo and write into the tlb * there could be a tlb miss again during the mapping of the data or instruction address. the processor will jump to the general exception vector since the exl is 1. (option to complete the first level refill in the general exception handler or eret to the original instruction and take the exception again) * unmapped vector tlbmod, tlbinv, tlb refill exceptions not possible * exl = 1 so interrupt exceptions disabled * os/system to avoid all other exceptions * only cold reset, soft reset, nmi exceptions possible.
chapter 8 cpu exception 8-24 figure 8.20.5 coldreset, softreset & nmi exception handling (hw) and servicing guidelines (sw) pc 0xffff ffff bfc0 0000 errorepc pc eret coldreset service code softreset service code nmi service code status bit 20 (sr) yes = = coldreset, softreset & nmi servicing guidelines (sw) cold reset exception soft reset & nmi exception coldreset, softreset & nmi exception handling (hw) note: there is no indication from the proessor to differentiate between nmi & softreset; there must be a system level indication. nmi? status: bev 1 ts 0 ( * ) sr 1 erl 1 random tlbentries-1 wired 0 status: bev 1 ts 0 ( * ) sr 0 erl 1 ( * ) reserved for tx4955 instr. in br. dly. slot? errorepc pc - 4 yes no
chapter 8 cpu exception 8-25 8.21 floating-point exception of floating-point unit (cp1) 8.21.1 introduction this chapter describes floating-point exceptions, including fpu exception type, exception trap processing, exception flags, saving and restoring state when handling an exception, and trap handlers for ieee standard 754 exceptions. 8.21.2 exception types the fp control/status register described in chapter 3 contains an enable bit for each exception type; exception enable bits determine whether an exception wi ll cause the fpu to initiate a trap or set a status flag. ? if a trap is taken, the fpu remains in the state found at the beginning of the operation and a software exception handling routine executes. ? if no trap is taken, an appropriate value is written into the fpu destination register and execution continues. the fpu supports the five ieee standard 754 exceptions: ? inexact (i) ? underflow (u) ? overflow (o) ? division by zero (z) ? invalid operation (v) cause bits, enables, and flag bits (status flags) are used. the fpu adds a sixth exception type, unimplemented operation (e). this exception indicates the use of a software implementation. the unimplemented operation exception has no enable or flag bit; whenever this exception occurs, an uni mplemented exception trap is taken. figure 8.21.1 shows the control/status register bits that support exceptions. bit # 17 16 15 14 13 12 e v z o u i cause bits bit # | 11 | 10 | 9 | 8 | 7 v z o u i enable bits bit # | 6 | 5 | 4 | 3 | 2 v z o u i flag bits | unimplemented | invalid | division by zero | overflow | underflow | inexact figure 8.21.1 control/status register (exception/flag/trap/enable bits)
chapter 8 cpu exception 8-26 8.21.3 exception trap processing when a floating-point exception trap is taken, the cause register indicates the floating-point coprocessor is the cause of the exception trap. the floating-point exception (fpe) code is used, and the cause bits of the floating-point control/status register indicate the reason for the floating-point exception. these bits are, in effect, an extension of the system coprocessor cause register. 8.21.4 flags a flag bit is provided for each ieee exception. this flag bit is set to a 1 on the assertion of its corresponding exception, with no corresponding exception trap signaled. when no exception trap is signaled, floating-point coprocessor takes a default action, providing a substitute value for the exception-causing result of the floating-point operation. the particular default action taken depends upon the type of exception. table 8.21.1 lists the default action taken by the fpu for each of the ieee exceptions. table 8.21.1 default fpu exception actions field description rounding mode default action i inexact exception any supply a rounded result. u underflow exception any supply a rounded result. o overflow exception rn modify overflow values to with the sign of the intermediate result. rz modify overflow values to the format?s largest finite number with the sign of the intermediate result. rp modify negative overflows to the format?s most negative finite number; modify positive overflows to + rm modify positive overflows to the format?s largest finite number; modify negative overflows to ? z division by zero any supply a properly signed v invalid operation any supply a quiet not a number (nan). the fpu detects the eight exception causes internally. when the fpu encounters one of these unusual situations, it causes either an ieee exception or an unimplemented operation exception (e). table 8.21.2 lists the exception-causing situations and contrasts the behavior of the fpu with the requirements of the ieee standard 754.
chapter 8 cpu exception 8-27 table 8.21.2 fpu exception-causing conditions fpa internal result ieee standard 754 trap enable trap disable notes inexact result i i i loss of accuracy exponent overflow o, i (note 1) o, i o, i normalized exponent > emax division by zero z z z zero is (exponent = emin ? 1, mantissa = 0) overflow on convert v e e source out of integer range signaling nan source (note 2) v v v quiet nan result generated from quiet nan source invalid operation v v v 0/0, etc. exponent underflow u e e normalized exponent < emin denormalized or qnan none e e denormalized is (exponent = emin ? 1 and mantissa < > 0) note 1: the ieee standard 754 specifies an inexact exception on overflow only if the overflow trap is disabled. note 2: if the conversion is to integer, it occurs the e-exception on signaling nan source. 8.21.5 fpu exceptions the following sections describe the conditions that cause the fpu to generate each of its exceptions, and details the fpu response to each exception-causing condition. inexact exception (i) the fpu generates the inexact exception if one of the following occurs: ? the rounded result of an operation is not exact, or ? the rounded result of an operation overflows, or ? the rounded result of an operation underflows and both the underflow and inexact enable bits are not set and the fs bit is set. trap enabled results: if inexact exception traps are enabled, the result register is not modified and the source registers are preserved. trap disabled results: the rounded or overflowed resu lt is delivered to the destination register if no other software trap occurs. invalid operation exception (v) the invalid operation exception is signaled if one or both of the operands are invalid for an implemented operation. when the exception occurs wi thout a trap, the mips isa defines the result as a quiet not a number (qnan). th e invalid operations are: ? addition or subtraction: magnitude subtraction of infinities, such as: ( + ) + (? ) or (? ) ? (? ) ? multiplication: 0 times , with any signs ? division: 0/0, or / , with any signs ? comparison of predicates involving ?? without ???, when the operands are unordered ? any arithmetic operation, when one or both operands is a signaling nan. a move (mov) operation is not considered to be an arithmetic operation, but absolute value (abs) and negate (neg) are. ? comparison or a convert from floating-point operation on a signaling nan. ? square root: x , where x is less than zero.
chapter 8 cpu exception 8-28 software can simulate the invalid operation exception for other operations that are invalid for the given source operands. examples of these operations include ieee standard 754-specified functions implemented in software, such as remainder: x rem y, where y is 0 or x is infinite; conversion of a floating-point number to a decimal format whose valu e causes an overflow, is infinity, or is nan; and transcendental functions, such as in(?5) or cos ?1 (3). refer to appendix b for examples or for routines to handle these cases. trap enabled results: the result register is not modified, and the source registers are preserved. trap disabled results: a quiet nan is delivered to the destination register if no other software trap occurs. divide-by-zero exception (z) the division-by-zero exception is signaled on an implemented divide operation if the divisor is zero and the dividend is a finite nonzero number. software can simulate this exception for other operations that produce a signed infinity, such as in (0), sec ( /2), csc (0), or 0 ?1 . trap enabled results: the result register is not modified, and the source registers are preserved. trap disabled results: the result, when no tr ap occurs, is a correctly signed infinity. overflow exception (o) the overflow exception is signaled when the magnitude of the rounded floating-point result, with an unbounded exponent range, is larger than the largest finite number of the destination format. (this exception also signals an inexact exception.) trap enabled results: the result register is not modified, and the source registers are preserved. trap disabled results: the result, when no trap occurs, is determined by the rounding mode and the sign of the intermediate result. underflow exception (u) two related events contribute to the underflow exception: ? creation of a tiny nonzero result between 2 emin which can cause some later exception because it is so tiny ? extraordinary loss of accuracy during the approximation of such tiny numbers by denormalized numbers. ieee standard 754 allows a variety of ways to detect these events, but requires they be detected the same way for all operations. tininess can be detected by one of the following methods: ? after rounding (when a nonzero result, computed as though the exponent range were unbounded, would lie strictly between 2 emin ) ? before rounding (when a nonzero result, co mputed as though the exponent range and the precision were unbounded, would lie strictly between 2 emin ). the mips architecture requires that tininess be detected after rounding. loss of accuracy can be detected by one of the following methods: ? denormalization loss (when the delivered result differs from what would have been computed if the exponent range were unbounded) ? inexact result (when the delivered result differs from what would have been computed if the exponent range and precision were both unbounded).
chapter 8 cpu exception 8-29 the mips architecture requires that loss of accu racy be detected as an inexact result. trap enabled results: if underflow or inexact traps are enabled, or if the fs bit is not set, then an unimplemented exception (e) is generated, and the result register is not modified. trap disabled results: if underflow and inexact trap s are not enabled and the fs bit is set, the result is determined by the rounding mode and the sign of the intermediate result. unimplemented instruction exception (e) any attempt to execute an instruction with an operation code or format code that has been reserved for future definition sets the unimplemented bit in the cause field in the fpu control/status register and traps. the operand and destination registers remain undisturbed and the instruction is emulated in software. any of the ieee standard 754 exceptions can arise from the emulated operation, and these exceptions in turn are simulated. the unimplemented instruction exception can also be signaled when unusual operands or result conditions are detected that the implemented hard ware cannot handle properly. these include: ? denormalized operand, except for compare instruction ? quiet not a number operand, except for compare instruction ? denormalized result or underflow, when either underflow or inexact enable bits are set or the fs bit is not set. ? reserved opcodes ? unimplemented formats ? operations which are invalid for their format (for instance, cvt.s.s) note: denormalized and nan operands are only trapped if the instruction is a convert or computational operation. moves do not trap if their operands are either denormalized or nans. the use of this exception for such conditions is optional; most of these conditions are newly developed and are not expected to be widely used in early implementations. loopholes are provided in the architecture so that these conditions can be implemented with assistance provided by software, maintaining full compatibility with the ieee standard 754. trap enabled results: the result register is not modified, and the source registers are preserved. trap disabled results: this trap cannot be disabled.
chapter 8 cpu exception 8-30 8.21.6 saving and restoring state sixteen doubleword ? coprocessor load or store operations save or restore the coprocessor floating-point register state in memory. the remainder of control and status information can be saved or restored through cfc1/ctc1 instructions, and saving and restoring the processor registers. normally, the control/status register is saved first and restored last. when state is restored, state information in the control/status register indicates the exceptions that are pending. writing a zero value to the cause field of control/status register clears all pending exceptions, permitting normal processing to restart after the floating-point register state is restored. 8.21.7 trap handlers for ieee standard 754 exceptions the ieee standard 754 strongly recommends that users be allowed to specify a trap handler for any of the five standard exceptions that can compute; the trap handler can either compute or specify a substitute result to be placed in the destination register of the operation. by retrieving an instruction using the processor exception program counter (epc) register, the trap handler determines: ? exceptions occurring during the operation ? the operation being performed ? the destination format on overflow or underflow exceptions (except for conversions), and on inexact exceptions, the trap handler gains access to the correctly rounded result by examining source registers and simulating the operation in software. on overflow or underflow exceptions encountered on floating-point conversions, and on invalid operation and divide-by-zero exceptions, the trap handler gains access to the operand values by examining the source registers of the instruction. the ieee standard 754 recommends that, if enabled, the overflow and underflow traps take precedence over a separate inexact trap. this prioritiza tion is accomplished in software; hardware sets the bits for both the inexact exception and the overflow or underflow exception. ? 32 doublewords if the fr bit is set to 1.
chapter 9 initialization interface 9-1 9. initialization interface this chapter describes the tx4955 initialization interface, and the processor modes. this includes the reset signal description and types, and initialization sequ ence, with signals and timing dependencies, and the user-selectable tx4955 processor modes. low-active signals are indicated by a trailing asterisk , such as coldreset*, the power-on/cold reset signal. 9.1 functional overview the tx4955 processor has the following three types of resets; they use the pllreset*, coldreset* and reset* input signals. ? pllreset* signal is asserted to initialize the clock generator. (cg) ? coldreset* signal is asserted after the power supply is stable and then restarts all clocks. a cold reset completely reinitializes the internal state machine of the processor without saving any state information. ? reset* signal is asserted to generate softreset ex ception. clock ratio is not changed (divmode is not reloaded). the internal state except certain registers is not saved. after reset, the processor is bus master and drives the sysad bus. for reset vector address, use 0xbfc0 0000. in the tx4955 processor core, the reset vector is located in uncached and unmapped address space. therefore, the cache and tlb need not be initialized at reset processing. 9.1.1 system coordination care must be taken to coordinate system reset w ith other system elements. in general, bus errors immediately before, during, or after a reset may result in unpredicted behavior. also, a small amount of processor state is guaranteed as stable after a rese t of the tx4955 processor, so extreme care must be taken to correctly initialize the processor through software.
chapter 9 initialization interface 9-2 9.2 reset signal description this section describes the three reset signals, pllreset*, coldreset* and reset*. ? pllreset*: assertion of pllreset* signal initial izes the on-chip pll. pllreset* signal should be asserted, for example, when the system power is turned on. ? coldreset*: the coldreset* signal must be asserted to reset the processor. internal clock begins to cycle and is synchronized with the deasserted edge of coldreset* signal. coldreset* signal can be asserted and deasserted asynchronously with the rising edge of masterclock. ? reset*: the reset* signal must be asserted to generate softreset exception. keep reset* signal to be deasserted when a soft reset is not used. 9.2.1 power-on reset power-on reset is a reset that occurs when the system power is turned on. this reset initializes the pll inside the on-chip clock generator. after masterclock became stable and the pll stabilization time has elapsed, pllreset* must be deasserted and then coldreset* must be deasserted. figure 9.2.1 illustrates the power-on reset timing. figure 9.2.1 power-on reset timing masterclock & pll stabilization time 10 ms v cc io v cc int masterclock (mclk) wavy lines indicate one or more identical cycles, not shown due to space constraints. coldreset * 1 ms pllreset * gbusclk (internal clock) note: pllreset * need not be synchronized to masterclock. pllreset * is sampled by the on-chip logic. reset *
chapter 9 initialization interface 9-3 9.2.2 cold reset a cold reset is used to completely reset the processor, including the processor clock. processor states should be saved as follows. after the processor power has stabilized, coldreset* must be kept asserted for a minimum of 64,000, masterclock cycles to ensure that the processor clock locks with respect to the masterclock input. coldreset* may be asserted and deasserted asynch ronously from the rising edge of masterclock. while coldreset* is asserted, the processor assu mes bus ownership and drives the sysad bus, as follows: in r5000 mode in r4300 mode sysad: 32?hxxxxxxxx (unknown output) 32?hxxxxxxxx (unknown output) syscmd: 9?b111010000 (output) 9?b111010000 (output) validout*: 1?b1 (output) 1?b1 (output) release*: 1?b1 (output) 1?b1 (output) after coldreset* is deasserted, the processor branches to the reset exception vector and begins executing the coldreset exception handler. information about the cpu register bits during a cold reset is provided in the chapter 3, ?tx49 core?s registers.? when coldreset* is asserted during a sysad transfer, all external agents must be reset to avoid bus contention on the sysad bus. figure 9.2.2 illustrates the cold reset timing. figure 9.2.2 cold reset v cc masterclock (mclk) coldreset * wavy lines indicate one or more identical cycles, not shown due to space constraints. 64,000mclk cycles tdh tds reset * 16mclk cycles
chapter 9 initialization interface 9-4 9.2.3 soft reset a soft reset is used to reset the processor without affecting the clocks; in other words, a soft reset is a logic reset. asserting the reset* signal resets the processor without disrupting the clocks and allows the processor to retain as much of its state as possible for debugging. (for information on saving the processor states, see the section on softreset exception in cpu exce ption processing.) because asserting the reset* signal results in an immediate soft reset, multicycle instructions such as cache misses or floating-point instructions may be aborted and some data lost as a result. a soft reset is started by assertion of the reset* signal. reset* signal must be asserted for a minimum of 16 cycles, and must be asserted and deasserted sync hronously with masterclock. in general, data in the processor is preserved for debugging purposes. while reset* signal is asserted, the processor assumes bus ownership and drives the sysad bus, as follows: in r5000 mode in r4300 mode sysad: 32'hxxxxxxxx (unknown output) 32'hxxxxxxxx (unknown output) syscmd: 9'b111010000 (output) 9'b111010000 (output) validout*: 1'b1 (output) 1'b1 (output) release*: 1'b1 (output) 1'b1 (output) after reset* signal is deasserted, the processor branches to the reset exception vector and begins executing the softreset exception handler. information about the cpu register bits by a soft reset is provided in the section 8.5, ?softreset exception.? when reset* signal is asserted during a sysad transf er, all external agents must be reset to avoid bus contention on the sysad bus. figure 9. 2.3 illustrates the soft reset timing. figure 9.2.3 soft reset masterclock (mclk) coldreset * wavy lines indicate one or more identical cycles, not shown due to space constraints. tdh tds reset * 16mclk cycles v cc
chapter 9 initialization interface 9-5 9.3 user-selectable mode configurations the tx4955 supports several user-selectable modes, which are designated during initialization. 9.3.1 system bus interface modes the tx4955 provides two system bus (sysad) interface modes: r4300 mode and r5000 mode. the mode43* signal selects which interface mode is used. 0: r4300 mode 1: r5000 mode 9.3.2 clock divisor for the system bus the divmode[1:0] signals specify the frequency relationship between the system bus (sysad bus) interface and the cpu core. the tx4955 supports divide ratios as follows: 1:2, 1:2.5, 1:3, 1:4, 1:4.5 and 1:5 <TMPR4955C> 1:2, 1:2.5, 1:3, 1:3.5, 1:4, 1:4.5, 1:5 and 1:6 9.3.3 system endianness the value of the endian signal when coldreset* is released (high) controls the system endianness: 0 for little-endian and 1 for big-endian. the be bit in the config register is read-only. setting the re bit in the status register reverses the user-mode endianness. 9.3.4 enabling and disabling the timer interrupt the timer interrupt, an internal interrupt of the tx49/h3 core and the tx49/h4 core, can be enabled and disabled through the tintdis* signal: 0: enabled 1: disabled
chapter 9 initialization interface 9-6
chapter 10 clock interface 10-1 10. clock interface this chapter describes the clock signals (?clocks?) used in the tx4955 processor. the subject matter includes basic system clocks, system timing parameters, operating the tx4955 processor in reduced power (rp) mode, connecting clocks to a phase-locked system, and connecting clocks to a system without phase locking. 10.1 signal terminology the following terminology is used in this ch apter (and book) when describing signals: ? rising edge indicates a low-to-high transition. ? falling edge indicates a high-to-low transition. ? clock-to-q delay is the amount of time it takes for a signal to move from the input of a device ( clock ) to the output of the device ( q ). figure 10.1.1 and figure 10.1.2 illustrate these terms. figure 10.1.1 signal transitions figure 10.1.2 clock-to-q delay single clock cycle high-to-low transition 1234 low-to-high transition clock-to-q delay q data in data out clock in data in data out clock-to-q clock in
chapter 10 clock interface 10-2 10.2 basic system clocks the various clock signals used in the tx4955 processo r are described below, starting with masterclock, upon which the processor bases all internal and external clocking. the clocks on the tx4955 processor are controlled by an on-processor phase-locked loop (pll) circuit. this circuit keeps the tx4955 processor?s internal clock edges aligned with the clock edges of the masterclock signal, which itself acts as the master system clock. inside the tx4955 processor, the masterclock signal can be multiplied by a factor set by the divmode[2:0] inputs to the processor. all internal clocks are then derived from this clock. the tx4955 processor has two primary internal clocks, the pipeline (also referred to as processor ) clock, cpuclk, and the system interface clock, gbusclk. gbusclk has the same frequency and phase as masterclock. 10.2.1 masterclock the processor bases all internal and external clocking on the single masterclock input signal. masterclock specifications are shown in figure 10.2.1. figure 10.2.1 masterclock 10.2.2 cpuclk the pipeline (or processor ) clock, cpuclk, can be 1:2, 1:2.5, 1:3, 1:3.5, 1:4, 1:4.5, 1:5, 1:6 times the masterclock frequency. this multiplication factor is determined by divmode[2:0] pins, which are static signal inputs to tx4955. all internal registers and latches use cpuclk. 10.2.3 gbusclk the system interface clock, gbusclk, is the same as the masterclock frequency. gbusclk is always derived from cpuclk. the tx4955 processor drives its outputs on this clock edge. the first rising edge of gbusclk, after coldreset* is deasserted, is aligned with the first rising edge of masterclock. t mcf t mcr t mch t mcl t mcp 0.8v cc io 0.2v cc io t mch 1.5 v
chapter 10 clock interface 10-3 10.2.4 cpuclk-to-gbusclk division figure 10.2.2 shows the clocks for a cpuclk-to-gbusclk division by 2. figure 10.2.2 processor clock, cpuclk-to-gbusclk divisor of 2 10.2.5 phase-locked loop (pll) the tx4955 clocks are controlled by a phase-locked loop circuit (pll). sysadin sysad driven gbusclk cpuclk masterclock c y cle t ds t dh t do d d d d d d d d 4 3 1 2
chapter 10 clock interface 10-4 10.3 connecting clocks to a phase-locked system when the processor is used in a phase-locked system, the external agent must phase lock its operation to a common masterclock. in such a system, the delivery of data and data sampling have common characteristics, even if the components have different delay values. for example, transmission time (the amount of time a signal takes to move from one component to another along a trace on the board) between any two components a and b of a phase-locked system can be calculated from the following equation: transmission time = (gbusclk period) ? (t do for a) ? (t ds for b) ? (clock jitter for a max) ? (clock jitter for b max) figure 10.3.1 shows a block-level diagram of a phase-locked system using the tx4955 processor. figure 10.3.1 the tx4955 processor phase-locked system masterclock external agent tx4955 masterclock s y scmd s y sad s y sad masterclock s y scmd
chapter 11 tx4955 system interface 11-1 11. tx4955 system interface 11.1 introduction the tx4955 supports 32-bit bus width. it is available r4300 type protocol mode and r5000 type protocol mode. a selection of above-mentioned protocol modes depend on the status of signals of mode43* after coldreset* is deasserted. the following terms are used in this section. ? external agent: logic device that is directly connected to the processor via the system interface so a processor can issue (instructions). ? system event: event issued inside a processor which, when generated, means that access to external system resources is required. ? sequence: strict order of requests that the pro cessor generates in order to provide service for system events. ? protocol: shift of signals for each cycle generated on the system interface so processor requests or external requests can be asserted. ? syntax: strict definition of the bit pattern on the encoded bus (command bus, etc.). 11.2 explanation of system interface of r5000 type protocol mode a selection of above-mentioned r5000 type protocol mode increases by followings. r5000 type protocol mode: mode43* = 1 the tx4955 processor supports 32-bit address/data interfaces. this processor makes it possible to construct a processor system by processors, main memory and external agent (asic and companion devices). system interfaces consist of the following components: ? 32-bit address/data bus, sysad ? 4-bit sysad check bus, sysadc ? 9-bit command bus, syscmd ? 1-bit syscmd check parity, syscmdp ? 6 handshake signals ? rdrdy*, wrrdy* ? extrqst*, release* ? validin*, validout* the tx4955 processor accesses external resources using the system interface in order to correct cache misses, uncached operation, and other problems.
chapter 11 tx4955 system interface 11-2 11.2.1 interface bus figure 11.2.1 illustrates the 32-bit address/data bus sysad[31:0], which is the main communication bus of the system interface, and the 9-bit co mmand bus syscmd[8:0]. sysad and syscmd are bi-directional busses. in other words, these two busses are used for the processor to issue processor requests and for the external agent to issue external requests. figure 11.2.1 system interface bus requests sent via the system interface consist of the following: ? address ? system interface command that strictly specifies the type of request ? series of data elements for when the request is a particular write or read process. 11.2.2 address cycle and data cycle cycles during which valid addresses exist on the sy sad bus are referred to as address cycles. also, cycles during which valid data exist on the sysad bus are referred to as data cycles. validity is determined depending on the validin signals and validout signals. the syscmd bus is used to identify the contents of the sysad bus for all cycles at which it is to be valid. the most significant bit of the syscmd bus is used to indicate whether the current cycle is an address cycle or a data cycle. ? in the case of an address cycle [syscmd[8] = 0], the remaining bits syscmd[7:0] of the syscmd bus contain the system interface commands. ? in the case of a data cycle [syscmd[8] = 1], the remaining bits syscmd[7:0] of the syscmd bus contain the data identifier. syscmd[8:0] sysad[31:0] tx4955 external agent
chapter 11 tx4955 system interface 11-3 11.2.3 issue cycle two types of processor issue cycles exist with the tx4955. ? processor read request issue cycles. ? processor write request issue cycles. the tx4955 judges the issue cycle of the processor read request by sampling the rdrdy* signal. it also judges the issue cycle of the processor write request by sampling the wrrdy* signal from the external agent. as illustrated in figure 11.2.2, rdrdy* must be asserted two cycles before the processor read request address cycle in order to define the address cycle as an issue cycle. figure 11.2.2 rdrdy * signal status in case of read request as illustrated in figure 11.2.3, wrrdy* is asserted two cycles before the initial address cycle of the processor write request, and the address cycle must be defined as the issue cycle. figure 11.2.3 wrrdy * signal status in case of write request the tx4955 repeats the request address cycle until the conditions of the valid issue cycle are met. if the processor request is a data transmission, then data transmission starts at the point when the issue cycle is complete. there is only one issue cycle no matter what the processor request is. the tx4955 accepts external requests even while trying to issue processor requests. if the external agent asserts extrqst*, the processor responds to the external agent by releasing the system interface and going into the slave state. rules relating to the issue cycle of processor requests are strictly applied in determining the processor run operation as well . the tx4955 performs one of the following: address 1 issue cycle 2 3 4 5 6 cycle mastercloc k sysad bus rdrdy * validout * address 1 issue cycle 2 3 4 5 6 cycle masterclock sysad bus wrrdy * validout * data
chapter 11 tx4955 system interface 11-4 ? complete issuing of processor requests before external requests are received. ? release the system interface and go into the sl ave mode without completing issuance of the processor requests. in the latter of the above situations, the tx4955 issues processor requests after external requests are complete. rules relating to issuing are also provided to processor requests. 11.2.4 handshake signal the processor uses the eight control signals expl ained below to manage the flow of requests. ? rdrdy* and wrrdy* are used by the external agen t to indicate that it is ready to accept a new read or write transaction. ? extrqst* and release* are used to transfer sysad bus and syscmd bus control. extrqst* is used by the external agent to indicate the necessity of controlling the interface. release* is asserted by the processor when transferri ng the system interface access privileges. ? the tx4955 processor uses validout* and the external agent validin* signals to indicate the valid command/data on the syscmd/sysad bus. 11.2.5 system interface protocol of r5000 type figure 11.2.4 illustrates the system interface that op erates between registers. in other words, processor output is directly transferred from the output register and changes with the masterclock rising edge. processor input is directly transferred to the input register and the input regi ster latches these input signals at the rising edge of the masterclock. in this way, it becomes possible for the system interface to operate at the fastest clock frequency. figure 11.2.4 operation of the system interface between registers masterclock tx4955 output data input data
chapter 11 tx4955 system interface 11-5 11.2.5.1 master state and slave state the system interface is placed in the master state when the tx4955 processor is driving the sysad bus and syscmd bus. in contrast, the system interface is in the slave state when the external agent is driving the sysad bus and syscmd bus. the processor asserts the validout* signal if the sysad bus and syscmd bus become valid when the system interface is in the mast er state. the external agent asse rts the validin* signal if the sysad bus and syscmd bus become valid when th e system interface is in the slave state. 11.2.5.2 shifting from the master state to the slave state the system interface remains in the master state unless it enters one of the following states: ? the external agent issues a request, and the external agent is granted permission to use the system interface (external arbitration). ? the processor issues a read request and shifts into the slave mode by itself. 11.2.5.3 external arbitration the external agent cannot issue external reques ts via the system interface unless the system interface goes into the slave state. shifts from the ma ster state to the slave state are arbitrated by the processor using the system interface handshake signals extrqst* and release*. this shift is performed as follows below. 1) the external agent sends notification that it would like to issue an external request by asserting the extrqst* signal. 2) the processor releases the system interface and changes its state from the master state to the slave state by asserting the release* signal for 1 cycle. 3) the system interface returns to the master state when issuing of the external request is complete. 11.2.5.4 shifting to the slave state on its own shifting to the slave state on its own means that th e shift from the master state to the slave state is started by the processor when the processor read request is still on hold. the release* signal is automatically asserted after the read transaction. self-invoked shifting to the slave state occurs in one cycle after the issue cycle of the read request. after shifting to the slave state on its own, the pr ocessor returns to the master state at the end of the next external request. this is made possible by a read response or other type of external request. the sysad bus and syscmd bus drives must star t after the external agent confirms that the processor autonomously shifted to the slave state. while the system interface is in the slave state, the external agent can start making external requests without requesting access to the system interface (without asserting the extrqst* signal). the system interface returns to the master state wh en the external request ends. if a processor read request is on hold after a read request is issued, the processor automatically changes the system interface into the slave state even if the external agent has not requested the permission necessary for issuing of the external request to use the system interface. by shifting to the slave state in this manner, the external agent becomes able to return read response data.
chapter 11 tx4955 system interface 11-6 11.2.6 processor requests and external requests requests are broadly categorized as processor requests and external requests. this section will describe these two categories. when a system event is generated, either a single request or a series of requests (referred to as processor requests) are issued via the system interface so the processor can acc ess an external resource and invoke the service for the event. in order for this operation to be performed properly, the processor system interface must be connected to a system agent that meets the two following conditions: 1) it is in compliance to the system interface protocol. 2) it can regulate access to system resources. an external agent that requests access to the processor cache or the status registers generates an external request. this access request is transferred via the system interface. figure 11.2.5 illustrates the system event and request cycles. figure 11.2.5 requests and system event 11.2.6.1 rules relating to processor requests the following rules apply to processor requests. ? after a processor read request is issued, the processor cannot issue the next read request until after it receives a read response. ? when in the r4000 compatible mode, after a writ e request is issued, at least 4 cycles must pass from when the write request issue cycle is complete until the processor can issue the next request. this is because two dummy system cycles are inserted as illustrated in figure 11.2.6 by consecutive write requests of single data cycles. figure 11.2.6 timing of consecutive write cycles system events ? load miss ? store miss ? store bit ? uncached load/store ? cache operation processor request ? read ? write processor request ? read ? write ? null tx4955 external agent addr 1 2 3 4 5 6 cycle masterclock sysad bus wrrdy * validout * addr 7 8 9 10 data dummy data dummy dummy cycle 1 2 3 4 write #1 write #2 dummy
chapter 11 tx4955 system interface 11-7 11.2.6.2 processor requests the term ?processor request? refers to either a single request or a series of requests issued via the system interface in order to access external resources . as illustrated in figure 11.2.7, there are two types of processor request: read and write. figure 11.2.7 processor requests read requests are requests that read data from main memory or other memory resources in block, word, and byte units. write requests are requests that write data to main memory or other system resources in block, , word, and byte units. processor requests are managed by the tx4955 processor in the same manner as the r4000 non-secondary cache mode. the processor issues requests strictly according to a sequential method. in other words, the processor cannot issue the next request while a previous request is on hold. for example, after issuing a read request, the processor waits for a re ad response before issuing the next request. the processor only issues write requests when there are no read requests on hold. when using processor input signals rdrdy* and wrrdy*, the external agent can control the processor request flow. rdrdy* is the signal that controls the processor read flow, and wrrdy* controls the processor write request flow. figure 11.2.8 illustrates the sequence of the processor request cycle. figure 11.2.8 processor requests 11.2.6.3 processor read requests when the processor issues a read request, the external agent must access the specified resource and return the requested data. the external agent returns response data for processor read requests so they can be executed separately from the requests. in other words, the external agent can start an external request before returning response data for the processor read re quest. a processor read request is completed when the final word of the response data is received from the external agent. depending on the data identifier combined with the response data, an error in the response data may be pointed out. the processor would then treat this error as a bus error. processor request ? read ? write tx4955 external agent 1. processor issues read/write requests. tx4955 external agent 2. external system controls acceptance of requests by asserting either rdrdy * or wrrdy * .
chapter 11 tx4955 system interface 11-8 if data have not been returned to the issued proces sor read request, the applicable request is said to be ?on hold.? this state continues until the requested read data are returned. the external agent must be able to accept proces sor read requests at any time if both of the following two conditions are met. ? there is no processor read request that is on hold. ? the rdrdy* signal is asserted for 1 cycle 2 cycles before the issue cycle. 11.2.6.4 processor write requests when the processor issues a write request, the specified resources are accessed, then the data are written to those resources. processor write requests are completed when the fi nal data word is transferred to the external agent. the external agent must be able to accept processor write requests at any time if either of the two following conditions are met. ? there is no processor read request that is on hold. ? the wrrdy* signal is asserted for 1 cycle 2 cycles before the issue cycle. 11.2.6.5 external requests as illustrated in figure 11.2.9, there are three types of external request: read, write, and null. figure 11.2.9 external requests read requests are used to call 1-word data from processor internal resources. write requests are used to write 1-word data to the processor internal resources. null requests are requests that do not require processor operation. as illustrated in figure 11.2.10, the processor us es arbitration signals extrqst* and release* to control the flow of external requests. the external agent cannot issue external requests unless access privileges to the system interface are obtained. in order to do so, the external agent asserts the extrqst* signal, then waits until the processor asserts the release* signal for 1 cycle. external request ? read ? write ? null tx4955 external agent
chapter 11 tx4955 system interface 11-9 figure 11.2.10 external requests after the external request is issued, the system interface access privileges always return to the processor. the processor will not accept another external request until the current one is complete. if there is no processor request that is on hold, the processor decides based on the interior state whether to accept an external request or to issue a new processor request. the processor can issue a new processor request even if the external agent requested access to the system interface. the external agent sends notification that it would like to start an external request by asserting the extrqst* signal. after that, the external agent wait s for the processor to assert the release* signal and send notification that preparations have been made to accept this request. the processor sends notification based on the next judgement criterion to be listed that preparations have been made to accept an external request. ? the processor ends processor requests that are in progress. ? the processor can accept an external request while waiting for the rdrdy* signal to be asserted so a processor read request can be issued. however, this request must be transferred to the processor at least 1 cycle before the rdrdy* signal is asserted. ? the processor can accept an external request while waiting for the wrrdy* signal to be asserted so a processor write request can be issued. however, this request must be transferred to the processor at least 1 cycle before the wrrdy* signal is asserted. ? if waiting for a response to a read request after the processor shifted itself to the slave state, the external agent can issue an external request before sending read response data. 11.2.6.6 external read requests in contrast to processor read requests, data are directly returned as a response to the request for external read requests. no other requests can be is sued until the processor returns the requested data. external read requests are complete when the pro cessor returns the requested data word. depending on the data identifier combined w ith the response data, an error in the response data may be pointed out. the processor would process the error as a bus error. note: the tx4955 does not have any resources that can read external read requests. the processor returns to the external read request undefined data and data identifiers in which syscmd[5] of the errant data bit is set. 1. external system requests bus access privileges by asserting the extrqst * signal tx4955 external agent 2. processor grants access privileges by asserting the release * signal 3. external system issues external request 4. processor obtains bus access privileges again
chapter 11 tx4955 system interface 11-10 11.2.6.7 external write requests external write requests can only use the interrupt register. when the extern al agent issues a write request, the interrupt register is accessed, then the data are written to this register. external write requests are completed when the data is written to the processor. 11.2.6.8 read responses as illustrated in figure 11.2.11, read response s return data to processor read requests. read responses are external requests, strictly speaking, but there is only one difference with other external requests: read responses do not request permission to use the system interface. therefore, read responses are handled separately fr om other external requests and are simply referred to as read responses. figure 11.2.11 read response 11.2.7 handling of requests this section will describe in detail sequences, protocol, and syntax for both processor and external requests. ? load miss ? store miss ? store hit ? cache operation ? load linked/store conditional 11.2.7.1 load miss if a processor load miss occurs in the primary cache, the processor cannot proceed to the next process if the cache line that contains the loaded data elements is not received from the external agent. if the current cache line set in which the write back bit (w bit) is set is replaced by a new cache line, the current cache line must be written back. the processor checks the coherency properties in the tlb entries for pages including the requested cache lines. if the coherency properti es are non-coherent, then a non-coherent read request is issued. table 11.2.1 indicates the measures that can be taken when a load miss occurs in the primary cache. 1. read request tx4955 external agent 2. read response
chapter 11 tx4955 system interface 11-11 table 11.2.1 load miss to the primary cache state of the replaced data cache line page properties dirty (w = 0)/invalid dirty (w = 1) non-coherent ncr ncr/w ncr: processo r non-coherent, block read request ncr/w: processor non-coher ent, block write requests continue after the block read request 11.2.7.2 store miss when a store miss occurs in the primary cache, the processor cannot proceed to the next process if it does not receive from the external agent a cache line that includes a store target address. the processor checks the coherency properties in the tlb entries for pages including the requested cache line, then confirms whether to invalidate write transactions to that cache line or not. after that, the processor executes one of the following requests: ? if the coherency properties are non-coherent write back or non-coherent write through (write allocate), then a non-coherent block read request is issued. ? if the coherency properties are non-coherent write through (non-write allocate), then a non-block write request is issued. table 11.2.2 indicates the measures taken when there is a store miss to the primary cache. table 11.2.2 store miss to primary cache state of the replaced data cache line page properties dirty (w = 0)/invalid dirty (w = 1) non-coherent write back or non-coherent write through (write allocate) ncr ncr/w non-coherent write through (non-write allocate) ncw na ncr: processor non-coherent, block read request ncr/w: processor non-coherent, block write requests continue after the block read request ncw: processor non-coherent write request 11.2.7.3 store hits operation in the system interface is determined by whether a line is write back or write through. when in the primary cache mode, all lines set to write back are set to the dirty exclusion state (w = 1). in other words, burst transactions do not occur even if a store hit occurs. lines set to write through generate processor write requests for store data. 11.2.7.4 uncached load or store when performing uncached load operations, the processor issues non-coherent read requests for double words, partial double words, words, or partial words. also, when performing uncached store operations, the processor issues write requests for double words, partial double words, words, or partial words. the tx4955 judges that there is valid parity and data in the entire 32-bit sysad bus even for data requests of less than a word. even if there was a partial word request for example, all parity must be correctly returned for all 32 bits. if not, then parity check must be disabled.
chapter 11 tx4955 system interface 11-12 all write transactions by the tx4955 are buffered in the 4-stage write buffer of the system interface. if there are entries in the write buffer when a block request is required, the write buffer is flushed before a read request is generated (for cache misses or read transactions to uncached areas). data cache misses or uncached data load transactions flush the write buffer. note: the tx4955 processes a parity error of the system interface as a bus error exception. 11.2.7.5 cache instruction operation various operations are made available to the cache instruction in order to maintain the primary cache status and contents. when cache instructi on operations are in progress, write requests or invalidate requests can be issued from the processor. 11.2.8 processor request and external request protocol this section explains the bus arbitration protocol for both processor requests and external requests on a cycle-by-cycle basis. table 11.2.3 below describe s the abbreviations used in the following timing diagram of the bus. table 11.2.3 system interface request range abbreviation meaning total unsd unused addr physical address sysad bus data data number n of the data block cmd undefined system interface command read processor or external read request command write processor or external write request command sinull external null request command that releases the system interface ndata non-coherent data identifier for datum other than the final datum syscmd bus neod non-coherent data identifier for the final datum 11.2.8.1 processor request protocol processor request protocol is as follows. ? read ? write ? null 11.2.8.2 processor read request protocol the processor read request protocol is as desc ribed in the following sequence. the next step numbers correspond to the numbers in figure 11.2.12. 1. rdrdy* is asserted to low by the external agent. this means that the external agent is ready to accept read requests. 2. when the system interface is in the master state, the read command is transmitted to the syscmd bus, then the processor read request is issued by transmitting the read address to the sysad bus.
chapter 11 tx4955 system interface 11-13 3. at the same time, the processor asserts the validout* signal for one cycle. this means that valid data are being transmitted to the syscmd bus and sysad bus. 4. the processor goes into the slave state by itself either at the issue cycle of a read request or after the release* signal is asserted for one cycle and the issue cycle of the read request is complete. note: the external agent must not assert the extrqst * signal as a means of returning a read response. it must however wait to shift to the slave state on its own. if an external request other than a read response is issued, extrqst * can be asserted either before the read response or in the process of the read response. 5. the syscmd bus and sysad bus are released from the processor one cycle after the release* signal is asserted. 6. the syscmd bus and sysad bus are driven by the external agent within two cycles after the release* signal is asserted. when shifting to the slave state (from cycle 5 in figure 11.2.12), the external agent can return the requested data as a read response. notification of an error in the returned data is sent if either the data requested by a read response were returned or if the requested data could not be fetched. in this case, the processor handles the result as a bus error exception. figure 11.2.12 illustrates a situation in which the slave state is autonomously shifted to after a processor read request is issued. note: the timing of the sysadc bus and syscmdp bus are the same as the timing of the sysad bus and syscmd bus timing, respectively. figure 11.2.12 processor read request protocol 1 2 3 4 5 6 cycle masterclock sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus addr read rdrdy * wrrdy * release * master slave 2 56 3 1 4
chapter 11 tx4955 system interface 11-14 if the release* signal is asserted, this means that either there is autonomous shifting to the slave state or there is a response to the extrqst* signal assertion. in this case, the processor can accept either a read response or an external request othe r than a read response. if an external request other than a read response is issued, the processor assert s release* for 1 cycle, then autonomously shifts to the slave state again after the external request process. 11.2.8.3 processor write request protocols either of the two following protocols is used in issuing proc essor write requests. ? the word write request protocol (see note below) is used for double word, partial double word, word or partial word writing. note: words are called to differentiate from the block request protocol. it is actually possible to transfer data in double word, partial double word, word, or partial word units. ? the block write request protocol is used for block write transactions. the system interface is used in the master state to issue processor word write requests. figure 11.2.13 illustrates processor non-cohere nt single word write request cycles. 1. in order to issue a processor single word write request, a write command is sent to the syscmd bus, and a write address is sent to the sysad bus. 2. the processor asserts the validout* signal 3. the processor sends the data identifier to the syscmd bus and transmits data to the sysad bus. 4. the data identifier for this data cycle must receive an indication that this is the final data cycle. validout* is deasserted at the end of the cycle. note: the timing of the sysadc bus and syscmdp bus are the same as the timing of the sysad bus and syscmd bus timing, respectively. figure 11.2.13 processor non-coherent single word write request protocol 1 2 3 4 5 6 cycle masterclock sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus addr write rdrdy * wrrdy * release * master 1 2 data 0 neod 3 4
chapter 11 tx4955 system interface 11-15 11.2.8.4 processor single write requests there are three processor single write requests as follow below. with later g2sconfig register, these modes are selected. 1. r4000 compatible write 2. reissue write 3. pipeline write table 11.2.4 data transfer rate, data pattern and setting at single write requests maximum data transfer rate data pattern setting bits 2-1 write mode 1 word/3 masterclock cycle wxx 00 r4000 compatible reserved reserved 01 reserved 1 word/1 masterclock cycles w 10 pipeline write 1 word/1 masterclock cycles w 11 reissue write note: the setting bits 2-1 is bits 2-1 of the g2sconfig register (0xf ff10 0000). bits 2-1 is set to ?00? when initialized. 1. r4000 compatible write when in the r4000 compatible write mode, 4 cycles are required for single write operation. after the address is asserted for 1 cycle, it is followed by 2 cycles of dummy data. figure 11.2.14 illustrates its basic operation. in the case of the tx4955, the wrrdy* signal must be asserted for 1 cycle 2 cycles before the write operation is issued. when in the r4000 co mpatible signal write mode, the external agent receives the write data then immediately asserts wrrdy*, making it possible to stop write operation that continues after 4 cycles. the 2 cycles of dummy data that follow these write data give the external agent time to stop the next write operation.
chapter 11 tx4955 system interface 11-16 figure 11.2.14 r4000 compatible write 2. reissue write when in the reissue write mode, the wrrdy* signal is asserted for 1 cycle 2 cycles before the address cycle, and the write operation is reissued when the wrrdy* signal is asserted during the address cycle. figure 11.2.15 illustrates the reissue write protocol. ? by asserting (low) the wrrdy* signal in the first and third cycles, addr0/data0 issues a write operation in the third or fourth cycle. ? by deasserting (high) the wrrdy* signal in the fifth cycle, addr1/data1 does not issue a write operation in the fifth and sixth cycles. ? by asserting (low) the wrrdy* signal again in the eighth and tenth cycles, addr1/data issues a write operation in the tenth and eleventh cycles. figure 11.2.15 reissue write protocol 1 2 3 4 5 6 cycle masterclock sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus addr rdrdy * wrrdy * release * data 0 addr data 1 addr write neod write neod write 1 2 3 4 5 6 cycle masterclock sysad bus wrrdy * 7 8 9 10 11 12 syscmd bus addr0 issue data0 addr1 data1 data 1 write neod write neod neod no issue no issue no issue no issue issue addr1 write
chapter 11 tx4955 system interface 11-17 3. pipeline write similar to when in the r4000 compatible write mo de, the pipeline write protocol issues a write operation if the wrrdy* signal is asserted for 1 cycle 2 cycles before the write operation is issued. however, the 2 cycles of dummy data afte r the write operation are deleted. the external agent must be able to accept one write operation or more after wrrdy* is deasserted. figure 11.2.16 illustrates this protocol. ? third, fourth cycle addr0/data0 is issued by asserting (low) the wrrdy* signal in the first cycle. ? fifth, sixth cycle addr1/data1 is issued by asserting (low) the wrrdy* signal in the third cycle. ? addr2 is not issued in the seventh cycle when the wrrdy* signal is deasserted (high) in the fifth cycle. addr2/data2 is issued in the tenth, eleventh cycle by asserting the wrrdy* signal again in the eighth cycle. figure 11.2.16 pipeline write protocol 1 2 3 4 5 6 cycle masterclock sysad bus wrrdy * 7 8 9 10 11 12 syscmd bus addr0 issue data0 addr1 data1 data 1 write neod write neod neod no issue no issue no issue issue addr2 write issue
chapter 11 tx4955 system interface 11-18 11.2.8.5 processor block write request the master state system interface is used to issue processor block write requests. figure 11.2.17 illustrates a processor non-coherent block request made for 8-word data with the ?d? data pattern. 1. processor sends a write command to the syscmd bus, then sends a write address to the sysad bus. 2. processor asserts the validout* signal. 3. processor sends data identifier to the syscmd bus and sends data to the sysad bus. 4. processor asserts the validout* signal only for the number of cycles required to transfer the data block. 5. final data cycle directive must be included in data identifiers for the final data cycle. note: there is transmission protocol of processor block write request three kinds same as processor single write requests. with later g2sconfig register, these modes are selected. figure 11.2.17 processor non-coherent block request protocol 11.2.8.6 external request protocol external requests can only be issued when the system interface is in the slave state. the external agent asserts the extrqst* signal, and requests use of the system interface. the processor asserts the release* signal, releases the system interface, waits for it to enter the slave state, then the external agent issues an external request. if the system in terface is already in the slave mode, namely, if the processor has put the system interface in the slave state on its own, then the external agent can immediately issue an external request. in the case of the external agent, the system inte rface must be returned to the master state after issuing an external request. when the external agent issues a single external request, extrqst* must be deasserted 2 cycles after the cycle at which release* is asserted. also, when issuing a series of external requests, the extrqst* signal must be asserted before the last request cycle. data5 1 2 3 4 5 6 cycle sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus addr write rdrdy * wrrdy * release * master 1 4 3 data0 ndata data1 ndata data2 ndata data3 ndata 2 data4 data6 data7 ndata ndata ndata neod 5 masterclock
chapter 11 tx4955 system interface 11-19 the processor continues processing external requests while extrqst* is asserted. however, until the processor completes a request that is currently being processed, it will not be able to release the system interface and put it into the slave state in preparation for the next external request. also, until extrqst* is asserted, a series of external requests cannot be interrupted by a processor request. 11.2.8.7 external arbitration protocol as previously mentioned, the extrqst* signal an d release* signal are used in system interface arbitration. figure 11.2.18 illustrates the timing of the arbitration protocol when the slave state changes to the master state. the arbitration cycle sequence is as follows. 1. the external agent asserts extrqst* when it becomes necessary to issue external requests. 2. the processor asserts release* for 1 cycle when it becomes possible to process an external request. 3. the processor sets the sysad bus and syscmd bus to tri-state. 4. the external agent must start transmission to the sysad bus and syscmd bus 2 cycles after release* is asserted. 5. the external agent deasserts extrqst* 2 cycles after release* is asserted. this does not apply however to situations where an attempt is made to issue another external request. 6. the external agent sets the sysad bus and sy scmd bus to tri-state when processing of the external request is complete. the processor becomes able to i ssue processor requests 1 cycle after the external agent sets the busses to tri-state. note: the timing of the sysadc bus and syscmdp bus are the same as the timing of the sysad bus and syscmd bus timing, respectively. figure 11.2.18 arbitration protocol relating to external requests master slave master 1 2 3 4 5 6 cycle masterclock sysad bus validin * wxtrqst * 7 8 9 10 11 12 syscmd bus cmd release * 1 5 4 3 neod 2 addr data0 6
chapter 11 tx4955 system interface 11-20 11.2.8.8 external read request protocol external read requests are requests that read 1 word of data from processor-internal resources such as registers. external read requests cannot be partitioned. namely, it is not possible to generate other requests between an external read request and the corresponding read response. figure 11.2.19 illustrates the timing of external read requests, which consist of the following steps. 1. the external agent requests use of the system interface by asserting extrqst*. 2. the processor asserts release* for 1 cycle, then releases the system interface by deasserting release* and puts the interface into the slave state. 3. after release* is deasserted, the sysad bus a nd syscmd bus are set to tri-state for 1 cycle. 4. the external agent sends a read request comm and to the syscmd bus, sends a read request address to the sysad bus, and asserts validin* for 1 cycle. 5. after sending the above address and command, the external agent sets the syscmd and sysad busses to tri-state, makes it possible for the pr ocessor to drive them, and then releases them both. the processor that accessed the data to be read returns the data to the external agent. therefore, the processor sends the data identifier to the syscmd bus, sends the response data to the sysad bus, then asserts validout* for 1 cycle. this data identifier indicates that data are the response data of the final data cycle. 6. the system interface is in the master state. the processor continues to drive the syscmd bus and sysad bus even after the read response is returned. note: the timing of the sysadc bus and syscmdp bus are the same as the timing of the sysad bus and syscmd bus timing, respectively. external read requests can read data from only a single word in the processor. if data elements other than a word are requested, the processor response is not defined. figure 11.2.19 external read request when system interface is in the master state note: the processor contains no resources that can read by way of external read requests. the processor returns data identifiers with syscmd[5] of the error data bits set along with undefined data when it receives an external read request. 1 2 3 4 5 6 cycle masterclock sysad bus validin * extrqst * 7 8 9 10 11 12 syscmd bus release * master 1 5 3 2 slave master addr 6 data0 read 6 data0 validout * 4
chapter 11 tx4955 system interface 11-21 11.2.8.9 external null request protocol the processor only supports one external null request. the system interface release external null request returns the system interface from the slave st ate to the master state. this request does not affect any other processors. the only processing the external null request does is to have the processor return the system interface to the master state. figure 11.2.20 illustrates the timing of the external null request, which consists of the following steps. 1. the external agent drives th e system interface, sends the external null request command to the syscmd bus, then asserts the validin* signal for 1 cycle. 2. the sysad bus is not available during the external null request address cycle (there are no valid data in the bus). 3. the null request ends when the address cycle is issued. in the case of a system interface release null re quest, the external agent releases the syscmd bus and sysad bus so the system interface can return to the master state. figure 11.2.20 system interface release external null request 1 2 3 4 5 6 cycle masterclock sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus extqst * release * slave 3 2 1 unsd sinull master
chapter 11 tx4955 system interface 11-22 11.2.8.10 external write request protocol the same protocol as the processor single word write protocol is used for external write requests, except when the validin* signal is asserted instead of the validout* signal. figure 11.2.21 illustrates the timing of the external write request, which consists of the following steps. 1. the external agent requests use of the system interface by asserting extrqst*. 2. the processor asserts release*, then the system interface is released from the processor and goes into the slave state. 3. the external agent sends a write command to the syscmd bus, and sends a write address to the sysad bus while asserting validin*. 4. the external agent sends data identifiers to the syscmd bus, and sends data to the sysad bus while asserting validin*. 5. data identifiers for this data cycle must contain an indication of a coherent or non-coherent final data cycle. 6. after a data cycle is issued, the write request is complete, the external agent sets the syscmd and sysad busses to tri-state, then the system interface returns to the master state. note: the timing of the sysadc bus and syscmdp bus are the same as the timing of the sysad bus and syscmd bus timing, respectively. external write requests can write only 1 word of data to the processor. operation of processors that have specified data elements other than a single word of data by an external write request is not defined. figure 11.2.21 external write request when system interface starts in the master state 1 2 3 4 5 6 cycle masterclock sysad bus validout * validln * 7 8 9 10 11 12 syscmd bus extrqst * release * master 2 4 3 addr data0 slave master write neod 6 5 1
chapter 11 tx4955 system interface 11-23 11.2.8.11 read response protocol the external agent must use the read response protocol to return data to the processor if a processor read request has been received. the sequence of the read response protocol is as follows. 1. the external agent waits for the processor to au tomatically execute a shift into the slave state. 2. the external agent uses either a single data cycle or a series of data cycles to return data. 3. after issuing the final data cycle, the read response ends, then the ex ternal agent sets the syscmd and sysad busses to tri-state. 4. the system interface returns to the master state. note: after issuing a read response, the processor automatically shifts to the slave state. 5. data identifiers of a data cycle must indicate that the data are response data. 6. final data cycle identifiers must contain an indication that a cycle is the final data cycle. in the case of read responses to non-coherent block read requests, it is not necessary for the response data to check the initial cache state. the cache state is automatically set to exclusively dirty. data identifiers of data cycles can send notificat ion of transfer data errors in those cycles. the external agent must return data blocks with the correct size even when there is an error in the data. whether there is a single error or multiple errors in the read response data cycles, the processor processes them as bus errors. read responses must always be returned to the processor when a processor read request is being held. processor operation is not defined if a read response was returned in a state where there were no processor read requests on hold. figure 11.2.22 illustrates a processor word read request and the subsequent word read response. also, figure 11.2.23 illustrates the read response to a processor block read request when the system interface is in the slave state.
chapter 11 tx4955 system interface 11-24 figure 11.2.22 processor word read request and subsequent word read response figure 11.2.23 block read response when system interface is in the slave state 1 2 3 4 5 6 cycle masterclock sysad bus validout * validin * 7 8 9 10 11 12 syscmd bus extrqst * master 3 slave master addr data0 addr neod 4 2 release * 1 6 1 2 3 4 5 6 cycle masterclock sysad bus validout * validin * 7 13 14 15 16 syscmd bus extrqst * master 3 slave master addr data7 addr neod 4 2 release * 6 data1 data0 ndata ndata 5
chapter 11 tx4955 system interface 11-25 11.2.9 data transfer the maximum data transfer rate of the system interface is 1 word per cycle. the external agent can select the data transfer rate to the processor. for example, it is possible to transfer data and assert the validin* signal just once for every n cycles instead of at all cycles. the external agent can transfer data to the processor at the selected transfer rate. the processor interprets cycles as being valid when the validin* signal is asserted and the syscmd bus includes the data identifier. after a cycle has been in terpreted as valid, the processor continues to accept data until a data word with an indication that it is the final data word appears. 11.2.9.1 data transfer pattern the term ?data pattern? in the case of block write operations, refers to a string of text that indicates the ?data? cycles repeated so that the ap propriate data transfer speed can be obtained and the ?unused? cycles. wxx data patterns indicate a re petition data transfer rate where there is one word in three cycles followed by two unused cycl es. the data transfer rate is set by g2sconfig register(0xf ff10 0000). table 11.2.5 indicates the data transfer rate, data pattern, and setting. table 11.2.5 data transfer rate, data pattern and setting at block write requests maximum data transfer rate (32-bit width) data pattern setting bit0 1 word/3 masterclock cycle wxx 0 1 word/1 masterclock cycle w 1 note: the setting bit0 is bit0 of the g2sconfig register (0xf ff10 0000). bit0 is set to 0 when initialized. 11.2.9.2 independent transfer on sysad bus a majority of applications connect the processor a nd external agent interior (both directions), and register format transceivers together in a poin t-to-point manner via the sysad bus. the only two sysad bus drives available for such applications are the processor and the external agent. depending on the application, it may be necessary to make additional connections on the sysad bus for drivers and receivers to transfer data using the sysad bus without involving the processor. such transfers are referred to as independent transfers. in order to perform independent transfers, the external agent must use arbitration handshake signals and external null requests to properly tune sysad bus control. independent transfer is performed on the sysad bus according to the following steps. 1. the external agent requests access to the sy sad bus in order to issue an external request. 2. the processor releases the system interface and puts it in the slave state. 3. the external agent can independently transf er data using the sysad bus. however, the validin* signal must not be asserted during that transfer. 4. when transfer is complete, the external agent must issue a system interface release null request and return the system interface to the master state.
chapter 11 tx4955 system interface 11-26 11.2.10 system interface cycle time in the case of a processor, there is a response time for each kind of processor transaction and for each external request. a minimum and maximum cycle co unt has been prescribed for each response time. since processor requests themselves are restrained by system interface request protocols, checking the protocols makes it possible to determine the cycle count required for requests. the interval for the next interface operation is variable within the range of the minimum and maximum cycle counts. ? stand-by time from when an external request is received and the processor releases the system interface, until when the interface enters the slave state (release latency). ? response time to external request that re quires a response (exter nal response latency). 11.2.10.1 release latency broadly defined, release latency is the number of cycles for which it is possible to wait from when the processor receives an external request until when the system interface is released and shifts to the slave state. if there are no processor requests currently in progress, the processor must delay release of the system interface for a few cycles since it is internal operation. therefore, if release latency is strictly defined, it becomes the cycle c ount from when the extrqst* signal is asserted until when the release* signal is asserted. there are three types of release latency. ? category 1: if external request signal is asserted 2 cycles before the final cycle of the processor request ? category 2: if external request signal is not asserted during processor request or processor request is the final cycle even if external request signal is asserted ? category 3: if processor automatically shifts to the slave state table 11.2.6 indicates the minimum and maximum release latency inherent to categories 1, 2, and 3. however, note that these cycle counts may be changed at any time. table 11.2.6 release latency for external requests category minimum cycle count maximum cycle count 1 3 5 2 1 24 3 0 0
chapter 11 tx4955 system interface 11-27 11.2.11 system interface command and data identifiers system interface commands specify the type of system interface and its properties. this specification is performed in the request address cycle. the system in terface data identifiers specify the properties of the data transferred during the system interface data cycle. in the case of external requests, the reserved bits and fields of the system interface commands and data identifiers should be set to 1. in the case of pr ocessor requests, these bits and fields are undefined. 11.2.11.1 syntax of commands and data identifiers system interface commands and data identifiers c onsist of 9 bits. these commands are sent by the syscmd bus from the processor to the external agent or from the external agent to the processor during either the address cycle or the data cycle. bit 8 (msb) of the syscmd bus specifies whether the syscmd contents at that time are a command or a data identifier (namely, whether the current cycle is an address cycle or a data cycle). if the contents are a system interface command, then syscmd[8] must be set to 0. if the contents are a system interface data identifier, then syscmd[8] must be set to 1. 11.2.11.2 syntax of system interface commands following is an explanation of the syscmd bus structure in the case of a system interface command. figure 11.2.24 illustrates the structure common to all system interface commands. 8 7 5 4 0 0 request type request specific figure 11.2.24 syntax bit structure of system interface command syscmd[8] must always be set to 0 in the case of system interface commands. syscmd[7:5] specifies the type of system interface request (read, write, null). table 11.2.7 indicates the syscmd[7:5] specification method. table 11.2.7 syscmd[7:5] specification method for system interface commands syscmd[7:5] command 0 read request 1 reserved 2 write request 3 null request 4-7 reserved syscmd[4:0] varies depending on the type of request. each specification type is indicated below.
chapter 11 tx4955 system interface 11-28 11.2.11.3 read requests figure 11.2.25 illustrates the syscmd fo rmat in the case of read requests. 8 7 5 4 0 0 000 read request specific figure 11.2.25 bit definition of syscmd bus for read requests table 11.2.8, table 11.2.9, and table 11.2.10 indicate the methods for specifying syscmd[4:0] for read requests. table 11.2.8 specification method of syscmd[4:3] for read requests syscmd[4:3] read properties 0-1 reserved 2 non-coherent block read 3 word or partial word read table 11.2.9 specification method of syscmd[2:0] for block read requests syscmd[2] reserved syscmd[1:0] read block size 0 reserved 1 8 words 2-3 reserved table 11.2.10 data size expressed by syscmd[2:0] for word or partial word read requests syscmd[2:0] read data size 0 1 byte valid (byte) 1 2 bytes valid (half-word) 2 3 bytes valid (tri-byte) 3 4 bytes valid (word) 4 reserved 5 reserved 6 reserved 7 reserved
chapter 11 tx4955 system interface 11-29 11.2.11.4 write requests figure 11.2.26 illustrates the syscmd format for write requests. table 11.2.11 indicates the methods of specifying write properties using syscmd[4:3]. table 11.2.12 indicates the methods of specifying replacements properties using syscmd[2:0] for block write requests. table 11.2.13 indi cates the methods of specifying the data size using syscmd[2:0] for write requests. 8 7 5 4 0 0 010 write request specific figure 11.2.26 syscmd bus bit specification for write requests table 11.2.11 methods of specifying syscmd[4:3] for write requests syscmd[4:3] write properties 0-1 reserved 2 block write 3 word or partial word write table 11.2.12 specification method of syscmd[2:0] for block write requests syscmd[2] reserved syscmd[1:0] write block size 0 reserved 1 8 words 2-3 reserved table 11.2.13 methods for specifying syscmd[2:0] for word or partial word write requests syscmd[2:0] write data size 0 1 byte valid (byte) 1 2 bytes valid (half-word) 2 3 bytes valid (tri-byte) 3 4 bytes valid (word) 4 reserved 5 reserved 6 reserved 7 reserved
chapter 11 tx4955 system interface 11-30 11.2.11.5 null requests figure 11.2.27 illustrates the syscmd format in the case of null requests. 8 7 5 4 0 0 011 null request specific figure 11.2.27 bit definition of the syscmd bus for null requests null request commands are always used for system interface release external null requests. table 11.2.4 indicates methods of specifying syscmd[4:3] for system interface release external null requests. syscmd[2:0] is reserved for null requests. table 11.2.14 method of specifying syscmd[4:3] for external null requests syscmd[4:3] null properties 0 release system interface 1-3 reserved 11.2.11.6 syntax of system interface data identifiers this section defines methods of specifying the syscmd bus for system interface identifiers. the bit structure illustrated in figure 11.2.28 is co mmon to all system interface data identifiers. 8 7 6 5 4 3 0 1 final data response data error data data check reserved figure 11.2.28 syntax bit structure of system interface data identifiers syscmd[8] must always be set to 1 for system interface data identifiers. system interface data identifiers are in the non-coherent data format. 11.2.11.7 non-coherent data non-coherent data are data such as the following. ? data that are the subject of a processor block write request or a processor word/partial word write request. ? data that are returned to a processor non-coherent block read request or a processor word/partial word read request as a response. ? data that are the subject of an external write request. ? data that are returned to an external read request as a response.
chapter 11 tx4955 system interface 11-31 11.2.11.8 bit definition of data identifiers in the case of processor or external coherent data identifiers and processor or external non-coherent data identifiers, syscmd[7] indicates that they are the final data element, and syscmd[6] indicates whether they are response data. response data are the data that are returned to a read request as a response. syscmd[5] indicates whether there is an error in a data element. uncorrectable errors are included in the error data. when such an error is returned to the processor, a bus error is generated. if a primary parity error is detected in the data item s to be transferred, the processor deasserts the good data bits and sends data. syscmd[4] indicates to the processor whether the data bits and check bits of a data element should be searched. syscmd[3] is reserved in the case of external data identifiers. syscmd[4:3] is reserved in the case of non-coherent processor data identifiers. syscmd[2:0] is reserved in the case of non-coherent data identifiers. table 11.2.15 indicates methods of specifying syscmd[7:3] for processor data identifiers. table 11.2.16 indicates methods of specifying syscmd[7:3] for external data identifiers. table 11.2.15 methods of specifying syscmd[7:3] for processor data identifiers syscmd[7] final data element indication 0 final data element 1 is not final data element syscmd[6] response data indication 0 response data 1 is not response data syscmd[5] good data indication 0 no errors 1 is error data syscmd[4:3] reserved table 11.2.16 method of specifying syscmd[7:3] for external data identifiers syscmd[7] final data element indication 0 final data element 1 is not final data element syscmd[6] response data indication 0 response data 1 is not response data syscmd[5] good data indication 0 no errors 1 is error data syscmd[4] enable data check 0 check data bits and check bits 1 do not check data bits and check bits syscmd[3] reserved
chapter 11 tx4955 system interface 11-32 11.2.12 system interface addresses 11.2.12.1 addressing rules addresses that are to be used in word or partial word transactions are arranged to match the size of the data element. the following rules are used by this system. ? target addresses of the block request are alig ned to the word boundaries. in other words, the lower 2 bits of the address become 0. ? word requests set the lower 2 bits of the address to 0. ? half-word requests set the least signi ficant bit of the address to 0. ? byte requests and 3-byte requests use the byte address. 11.2.13 mode register of system interface (g2sconfig) the mode register of system interface (g2sconfig) is a read/write register. this register is used to specify a protocol type of a processor write request etc. this register is only word-access. table 11.2.17 g2sconfig address field name description 0xf_ff10_0000 g2sconfig mode register of system interface 31 20 19 4 3 2 1 0 bit reserved reserved reserved write mode data rate bit(s) field name description cold reset read/write 31:20 reserved read as undefined. must be written as 0xfff. undefined read 19:4 reserved read as undefined. must be written as 0xffff. 0xffff read/write 3 reserved read as undefined. must be written as 0. 0 read/write 2:1 write mode processor single write protocols set 00: r4000 compatible write 01: reserved 10: pipeline write 11: reissue write 00 read/write 0 data rate data transfer rate set for the block write 0 : wwwwwwww 1: wxxwxxwxxwxxwxxwxxwxxwxx 0 read/write note: when initialized single write: awxx (r4000 compatible) block write: awwwwwwww figure 11.2.29 g2sconfig register formats(when r5000 protocol (mode43 * =1))
chapter 11 tx4955 system interface 11-33 11.2.14 data error detection the tx4955 internal system interface uses the following two methods to detect data errors: ? indication of good data by data identifier syscmd[5] ? determination by a check bit 11.2.14.1 indication of good data by data identifier syscmd[5] this bit indicates whether data is good or not for al l data. therefore, in a block refill transfer, for example, no matter in what number of data syscmd[5] may have been set, a bus error exception is always generated. 11.2.14.2 determination by a check bit when an error is detected by check bit determination, a bus error exception occurs. because this determination also is made for all data, no matter in what number of data in a block refill transfer an error may have occurred, a bus error exception is always generated. 11.2.14.3 timing at which a bus error exception occurs indication of good data (syscmd[5]): tw o cycles after read response data check bit error detection: three cycles after read response data 11.2.14.4 precautions ? there is no means of identifying whether a bus error exception has been generated by indication of good data or by check bit determination. nor do the tx49/h3 core and the tx49/h4 core have a cache parity bit, but when a bus error exception occurs during a block refill transfer, the cache line is referenced invalid. ? regardless of whether a bus error exception has been generated by indication of good data or by check bit determination during a block refill transfer, a designated block size of data needs to be transferred.
chapter 11 tx4955 system interface 11-34 11.3 system interface of r4300 type protocol mode in tx4955, it is built in system interface function corresponding to r4300 type protocol. a selection of above-mentioned r5000 type protocol mode or r4300 type protocol mode increases by external pin (mode43*). the r4300 type protocol mode setting is as follows: mode43* = 0 note: in r4300 type protocol mode of tx4955, there is not preq output signal. therefore preq input signal of an external agent should be always fixed in ?low?. and also there is not a function to show that protocol errors were detected. otherwise, tx4955 has a built-in counter, and when there is no reply detected to read request in a certain time, bus error exception occurs. 11.3.1 system interface description of r4300 type protocol mode the tx4955 processor has a 32-bit address/data interface. the system interface consists of: ? 32-bit address and data bus, sysad ? 5-bit command bus, syscmd ? five handshake signals: ? evalid*, pvalid* ? ereq* ? pmaster*, eok* table 11.3.1 system interface signals (when r4300 type protocol mode (mode43 * = 0,) signal name i/o function sysad[31:0] i/o system address/data bus a 32-bit address and data bus for communication between the processor and an external agent. syscmd[8:5] i/o reserved these pins are always driven to 0, then, can be left open on the board syscmd[4:0] i/o system command/data identifier bus a 5-bit bus for command and data identifier transmission between the processor and an external agent. sysadc[3:0] i/o reserved these pins are always driven to 0, then, can be left open on the board syscmdp i/o reserved this pin is always driven to 0, then, can be left open on the board evalid * i external agent valid input during the cycle it is asserted, evalid * indicates an external agent is driving a valid address or valid data on the sysad bus, and a valid command or data identifier on the syscmd bus. pvalid * o processor valid output during the cycle it is asserted, pvalid * , indicates the processor is driving a valid address or valid data on the sysad bus, and a valid command or data indentifier on the syscmd bus. ereq * i external request indicates an external agent is requesting system interface bus ownership. pmaster * o processor master this signal indicates that the processor is a bus master.
chapter 11 tx4955 system interface 11-35 signal name i/o function eok * i external agent ready an external agent asserts eok * when an external agent can now accept a processor request. rdrdy * i reserved there is a pull-down resistor in the circuit. then you can left it open or set it to 0.
chapter 11 tx4955 system interface 11-36 table 11.3.2 pin layout (when r4300 type protocol mode(mode43 * = 0) pin no. signal name pin no. signal name pin no. signal name pin no. signal name 1 vss 41 vss 81 vccint 121 sysad28 2 bufsel1 42 trst * 82 nmi * 122 sysad29 3 jtdo 43 (gnd) 83 ereq * 123 vccint 4 jtdi 44 eok * 84 reset * 124 vss 5 jtck 45 evalid * 85 coldreset * 125 sysad30 6 jtms 46 pvalid * 86 vccio 126 vccio 7 vccio 47 pmaster * 87 endian 127 vss 8 vss 48 vccio 88 vccio 128 sysad31 9 sysad4 49 pllreset * 89 vss 129 (gnd) 10 sysad5 50 vccint 90 sysad16 130 vccint 11 vccint 51 tintdis 91 vccint 131 vss 12 vss 52 vss 92 vss 132 (gnd) 13 sysad6 53 syscmd0 93 sysad17 133 vccio 14 vccio 54 syscmd1 94 sysad18 134 vss 15 vss 55 syscmd2 95 vccio 135 (gnd) 16 sysad7 56 syscmd3 96 vss 136 vccint 17 sysad8 57 syscmd4 97 sysad19 137 vss 18 vccint 58 (gnd) 98 vccint 138 (gnd) 19 vss 59 vccio 99 vss 139 sysad0 20 sysad9 60 vss 100 sysad20 140 vccio 21 vccio 61 (gnd) 101 sysad21 141 vss 22 vss 62 (gnd) 102 vccio 142 sysad1 23 sysad10 63 (gnd) 103 vss 143 sysad2 24 sysad11 64 (gnd) 104 sysad22 144 vccint 25 vccint 65 vccint 105 vccint 145 vss 26 vss 66 vss 106 vss 146 sysad3 27 sysad12 67 vccio 107 sysad23 147 pcst8 28 vccio 68 haltdoze 108 sysad24 148 pcst7 29 vss 69 int0 * 109 vccio 149 pcst6 30 sysad13 70 int1 * 110 vss 150 pcst5 31 sysad14 71 int2 * 111 sysad25 151 pcst4 32 vccint 72 int3 * 112 vccint 152 vccio 33 vss 73 int4 * 113 vss 153 vss 34 sysad15 74 int5 * 114 sysad26 154 vccio 35 bufsel0 75 vccio 115 sysad27 155 vsspll 36 pcst3 76 vss 116 vccio 156 divmode2 37 pcst2 77 tpc3 117 mode43 * 157 vccpll 38 pcst1 78 tpc2 118 divmode1 158 vss 39 pcst0 79 tpc1 119 divmode0 159 masterclock 40 vccio 80 dclk 120 vss 160 vccio note: active-low signals have a trailing asterisk ( * ).
chapter 11 tx4955 system interface 11-37 11.3.2 system events system events include: ? fetch miss in the instruction cache ? load miss in the data cache ? store miss in the data cache ? an uncached load or store ? actions resulting from the execution of cache instructions when a system event occurs, the processor issues a re quest or a series of requests through the system interface to access some external resource to service th at event. the system interface must be connected to an external agent that coordinates access to system resources. processor requests include both read and write requests: ? a read request supplies an address to an external agent ? a write request supplies an address and a word or block of data to be written to an external agent processor read requests that have been issued, but for which data has not yet been returned, are said to be pending . the processor will not issue another request while a read is already pending. a processor read request is said to be complete after the last transfer of response data has been received from an external agent. a processor write request is said to be complete after the last word of data has been transmitted. external requests include both re ad responses and write requests: ? a read response supplies a block or single transfer of data from an external agent in response to a read request ? a write request supplies an address and a word of data to be written to a processor resource when an external agent receives a read request, it accesses the specified resource and returns the requested data through a read response, which may be returned any time after the read request and at any data rate. by default, the processor is the master of the system interface. an external agent becomes master of the system interface either through arbitration, or by defa ult after a processor read request. the external agent returns mastership to the processor after the external request completes or after the processor read request has been serviced. 11.3.3 system event sequences and the sysad bus protocol the following sections describe the sequence and timi ng of processor and external requests in detail. note: the following sections describe the sysad bus protocol; the tx4955 processor always meets the conditions of this protocol. the tx4955 processor is capable of receiving sequences of transactions on the bus at full protocol speed and of receiving data on every cycle. at a minimum speed, the design of external agents must meet the requirements of this protocol. 11.3.3.1 fetch miss when the processor misses in the instruction cache on a fetch, it obtains a cache line of instructions from an external agent. the processo r issues a read request for the cache line and waits for an external agent to provide the data in response to this read request.
chapter 11 tx4955 system interface 11-38 11.3.3.2 load miss when the processor misses in the data cache on a load, it obtains a cache line of data from an external agent. the processor issues a read request for the cache line and waits for an external agent to provide the data in response to this read request. if the cache da ta which the incoming line will replace contains valid dirty data, this data is written to memory. the read request completes before the write of the dirty cast-out data because tx4955 has the write buffer. 11.3.3.3 store miss when the processor misses in the data cache on a store, it issues a read request to bring a cache line of data into the cache, where it is then updated with the store data. if the cache data which the incoming line will replace contains valid dirty data, the data is written to memory. the read request completes before the write of the dirty cast-out data because tx4955 has the write buffer. to guarantee that cached data written by a store is consistent with main memory, the corresponding cache line must be explicitly flushed from the cache using a cache operation. 11.3.3.4 uncached load or store when the processor performs an uncached load, it issues a read request and waits for a single transfer of read response data from an external agent. when the processor performs an uncached store, it issues a write request and provides a single transfer of data to the external agent. the processor does not consolidate data on uncached writes. for example, writes of two contiguous halfwords takes two write cycles, they are never grouped into a single word write. 11.3.3.5 cache instructions the tx4955 processor provides a number of cache instructions for use in maintaining the state and contents of the caches. cache operations supported in the tx4955 processor are described in chapter 5. 11.3.3.6 byte ordering (endian) the system interface byte order is set by the endian of external pin. the byte order is big-endian when endian is high, and little-endian when endian is low. the re (reverse-endian) bit in the status register can be set by software to reverse the byte order available in user mode. 11.3.3.7 physical addresses physical addresses are driven on sysad[31:0] during address cycles.
chapter 11 tx4955 system interface 11-39 11.3.3.8 interface buses figure 11.3.1 shows the primary communication paths for the system interface: a 32-bit address and data bus, sysad[31:0], and a 5-bit command bus, syscmd[4:0]. these sysad and the syscmd buses are bidirectional; that is, they are driven by the processor to issue a processor request, and by the external agent to issue an external request. a request through the system interface consists of: ? an address ? a system interface command ? a series of data elements if the request is for a write or read response. figure 11.3.1 system interface buses 11.3.3.9 address and data cycles the syscmd bus identifies the contents of the sysad bus during any cycle in which it is valid. cycles in which the sysad bus contains a valid address are called address cycles . cycles in which the sysad bus contains valid data are called data cycles . the most significant bit of the syscmd bus is always used to indicate whether the current cycle is an address cycle or a data cycle. when the tx4955 processor is driving the sysad and syscmd buses, the system interface is in master state . when the external agent is driving the sysad and syscmd buses, the system interface is in slave state . ? when the processor is master, it asserts the pvalid* signal when the sysad and syscmd buses are valid. ? when the processor is slave, an external agent asserts the evalid* signal when the sysad and syscmd buses are valid. the syscmd bus identifies the contents of the sysad bus during valid cycles. ? during address cycles (syscmd[4] = 0), the remainder of the syscmd bus, syscmd[3:0], contains a s ystem interface command , described in section 11.3.18 in detail. ? during data cycles (syscmd[4] = 1), the remainder of the syscmd bus, syscmd[3:0], contains a data identifier , described in section 11.3.18 in detail. tx4955 syscmd[4:0] sysad[31:0] external agent
chapter 11 tx4955 system interface 11-40 11.3.4 system interface protocols figure 11.3.2 illustrates the system interface that op erates between registers. in other words, processor output is directly transferred from the output register and changes with at the rising edge of the masterclock. processor input is directly transferred to the input register and the input register latches these input signals at the rising edge of the masterclock. in this way, it becomes possible for the system interface to operate at the fastest clock frequency. figure 11.3.2 system interface register-to-register operation 11.3.4.1 master and slave states when the tx4955 processor is driving the sysad and syscmd buses, the system interface is in master state . when the external agent is driving the sysad and syscmd buses, the system interface is in slave state . in master state, the processor asserts the signal pvalid* whenever the sysad and syscmd buses are valid. in slave state, the external agent asserts the signal evalid* whenever the sysad and syscmd buses are valid. 11.3.4.2 moving from master to slave state the processor is the default master of the system interface. an external agent becomes master of the system interface through arbitration, or by default after a processor read request. the external agent returns mastership to the processor after an external request completes. the system interface remains in master state unless one of the following occurs: ? the external agent issues a request, and the external agent is granted permission to use the system interface (external arbitration). ? the processor issues a read request and shifts into the slave mode by itself. masterclock tx4955 output data input data
chapter 11 tx4955 system interface 11-41 11.3.4.3 external arbitration the system interface must be in slave state for th e external agent to issue an external request through the system interface. the transition from ma ster state to slave state is arbitrated by the processor using the system interface handshake signals ereq* and pmaster*. this transition is described by the following procedure: 1. an external agent signals that it wishes to issue an external request by asserting ereq*. 2. when the processor is ready to accept an external request, it releases the system interface from master to slave state by negating pmaster* signal for 1 cycle. 3. the system interface returns to master state as soon as the issue of the external request is complete. 11.3.4.4 uncompelled change to slave state an uncompelled change to slave state is the transition of the system interface from master state to slave state, initiated by the processor itself when a processor read request is pending. pmaster* is negated automatically after a read request. an unc ompelled change to slave state occurs either during or some number of cycles after the issue cycle of a read request. the uncompelled release latency depends on the state of the cache. after an uncompelled change to slave state, the processor returns to master state at the end of the next external request. this can be a read response, or some other type of external request. an external agent must note that the processor has performed an uncompelled change to slave state and begin driving the sysad bus along with the syscmd bus. as long as the system interface is in slave state, the external agent can begin an exte rnal request without arbitrating for the system interface; that is, without asserting ereq*. after the external request, the system interface returns to master state. 11.3.4.5 signal timing the system interface protocol describes the cycle-by-cycle signal transitions that occur on the pins of the system interface to realize requests between the processor and an external agent. figure 11.3.3 shows the timing relationships between system interface signal edges.
chapter 11 tx4955 system interface 11-42 figure 11.3.3 system interface edge timing relationships the timing summary section below describes the minimum and maximum timing values of each signal. the sections that follow describe th e timing requirements for various bus cycles. 11.3.5 timing summary in the following timing diagrams, gray-scale signals indicate values that are either unknown or don?t cares, within the specification limits. they may be any value as long they do not violate any bus value or timing specification. the timing diagrams illustrate cycles using the following signals: ? pmaster* ? evalid* ? pvalid* ? eok* ? ereq* ? pmaster * (o) indicates the processor is the master of the system interface bus. figure 11.3.4 sample cycle with pmaster * asserted, then deasserted a) processor drives sysad and syscmd buses (processor is master). b) pmaster* is deasserted. sysad and syscmd buses are set to a tri-state (no bus master). c) external agent drives sysad and syscmd buses (external agent is master). t ds t do t dh t do t dh t ds t do valid output valid input valid input note: these waveforms only describe edge-to-edge timing relationships. evalid * , ereq * , eok * , int * , nmi * pvalid * pmaster * sysad syscmd masterclock extagent cmd extagent data proc cmd proc data syscmd sysad cycle c b a pmaster * masterclock
chapter 11 tx4955 system interface 11-43 figure 11.3.5 sample cycle with pmaster * asserted a) external agent drives sysad and syscmd buses (external agent is master). b) sysad and syscmd buses are set to a tri-state (no bus master). c) pmaster* is asserted. processor drives sysad and syscmd buses (processor is master). ? evalid * (i), pvalid * (o) during a cycle in which either signal is asserted , the signal indicates a new valid address or valid data is on the sysad bus, and a new valid command or data identifier is on the syscmd bus. evalid* indicates an external agent is driving new sysad and syscmd values. pvalid* indicates the processor is driving new sysad and syscmd values. figure 11.3.6 sample cycle with pvalid * and evalid * a) new sysad and syscmd values. each cycle either of these signals remains asserted indicates there is a new sysad and syscmd value. figure 11.3.7 sample cycles with multiple pvalid * and evalid * a) new sysad and syscmd value. b) another new sysad and syscmd value. extagent cmd extagent data proc cmd proc data c b a pmaster * syscmd sysad cycle masterclock data a sysad cycle masterclock cmd syscmd evalid or pv a li d data data b a sysad cycle masterclock cmd cmd syscmd evalid * or pv a li d *
chapter 11 tx4955 system interface 11-44 ? eok * (i) indicates an external agent accepts a processor request. a) eok* is active. b) the processor asserts pvalid* and drives a read or write command. eok* is asserted and the external agent accepts the processor command. once the external agent has accepted a processor write command, the agent must be able to accept the entire data size at the programmed data rate immediately following this command. the external agent may provide read res ponse data to the processor at any rate. deasserting eok* may kill a processor read/write re quest in progress. if this occurs, the external agent must ignore command and data from the processor in the following cycle. figure 11.3.8 sample cycle with eok * asserted figure 11.3.9 sample cycle with eok * asserted, then deasserted a) eok* is active. b) processor asserts pvalid* and drives a read or write command. eok* is deasserted (external agent has killed the processor?s command). c) the external agent must ignore any sy sad and syscmd data from the processor. pro cmd (rd/wr) proc address c b a sysad cycle masterclcok syscmd eok * pvalid * proc address pro cmd (wr) proc data data cmd d c b a sysad cycle masterclcok syscmd eok * pvalid *
chapter 11 tx4955 system interface 11-45 ? ereq * (i) indicates an external agent is requesting bus ownership of the system interface. to gain mastership of the bus, an external agent must ar bitrate with the processor as follows: a) external agent asserts ereq* b) wait for pmaster* to be deasserted (1 to n cycles). c) external agent drives sysad and syscmd bu ses. the external agent is guaranteed to maintain mastership of the bus as long as ereq* is asserted. if at any time ereq* is deasserted, the external agent must go back to step a and re-arbitrate for the bus. figure 11.3.10 sample cycle with ereq * asserted from the time that ereq* is asserted, the external agent is guaranteed to gain mastership of the bus after at most one processor request. however, if eok* is being deasserted, the external agent will gain mastership of the bus without having to accept any processor requests. the external agent relinquishes bus mastersh ip by deasserting ereq* as shown below: figure 11.3.11 sample cycle with deassertion of ereq * a) external agent deasserts ereq*. b) bus is set to a tristate. c) processor regains mastership of bus. extagent cmd extagent data c b a sysad cycle masterclock syscmd ereq * pmaster * extagent cmd extagent data proc data data cmd c b a sysad cycle masterclock syscmd ereq * pmaster *
chapter 11 tx4955 system interface 11-46 11.3.6 arbitration the processor is the default master of the bus. it relinquishes ownership of the bus either when an external agent requests and is granted the system inte rface, or until the processor issues a read request. the transition from processor master to processor slave state is arbitrated by the processor, using the system interface handshake signals ereq* and pmaster*. when a processor read request is pending, the processor transitions to slave state by deasserting pmaster*, allowing an external agent to return the read response data. the processor remains in slave state until the external agent issues an end of data read response, whereupon the processor reassumes mastership, signaled by the assertion of pmaster*. note that an external agent is able to retain mastership of the bus after an end of data read response if the external agent arbitrates for mastership using ereq*. when the processor is master, an external agent acquires control of the system interface by asserting ereq*, and waiting for the processor to deassert pmaster*. the processor is ready to enter slave state when it deasserts pmaster*. the external agent must go through a three-step arbitration process (see the ereq* cycle in the timing summary) before driving the bus. once the external agent has become master through ereq* arbitration, it can remain master as long as it continues to assert ereq*. the system interface returns to master state (with the processor driving the bus) two cycles after ereq* is deasserted. figure 11.3.12 illustrates an arbitration for external requests. figure 11.3.12 external request arbitration when an external agent is master, it may always re spond to a read with data. if the external agent has become master by ereq*, it may is sue transactions at will; that is, the processor must always accept any command or data on the bus at any time. there is no means for the processor to hold off the external agent once the external agent is master. if the processor is in slave state and needs the bus, it waits until the external agent ereq* deasserted. thereafter, when the processor sees ereq* deasserted, it resumes bus ownership, asserts the pmaster*, and issues its own command. the processor becomes master and drives the bus two cycles after ereq* is deasserted. the relation between processor request for bus mastership and the release of the bus mastership by the external agent is illustrated in figure 11.3.13. external agent command external agent data 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd ereq * pmaster *
chapter 11 tx4955 system interface 11-47 figure 11.3.13 processor request for bus arbitration and external agent release upon assertion of reset* or coldreset*, the proces sor becomes bus master and the external agent must become slave. this protocol guarantees that either the processor or an external agent is always bus master. the master should never tristate the bus, except when giving up ownership of the bus under the rules of the protocol. 11.3.7 issuing commands when the processor is master of the bus and wishes to issue a command, it cannot successfully issue the command until the external agent signals that it is ready to accept it. this readiness is indicated by assertion of the eok* signal. being master, the processor may place the command on the bus and continually reissue it while waiting for eok* to be asserted; however, the command is not considered issued until eok* has been asserted for two consecutive cycle (see the timing summary for eok* earlier in this chapter). if the eok* signal is asserted in one cycle and then deasserted in the next, during which time a command is issued, that command is considered killed and must be retried. when a command is killed in this way, the processor begins to execute the read/write command. this action must be ignored by the external agent. if a write command is killed, the data cycle following this killed transaction must be ignored. if a read is killed, the processor releases the bus one cycle after and (assuming no ereq*) regains mastership two cycles later. this allows the processor to retry the transaction. 11.3.8 processor write request a processor write request is issued by the following: ? driving a write command on the syscmd bus ? driving a write address on the sysad bus ? asserting pvalid* for one cycle ? driving the appropriate number of data identifiers on the syscmd bus ? driving data on the sysad bus ? asserting pvalid* processor command agent command agent data external external processor address/data 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd eok * ereq * pmaster *
chapter 11 tx4955 system interface 11-48 for 1-to 4-byte writes, a single data cycle is used. 5-, 6- and 7-byte writes are broken up into two address/data transactions; one 4 bytes in size, the next handling the remaining 1, 2, or 3 bytes. more than 8 bytes (e.g. 8, 16, 32), 4 bytes are sent on each data cycle until the appropriate number of bytes has been transferred. the final data cycle is tagged as end of data (eod) on the command bus. to be fully compliant with all implementations of this protocol, an external agent should be able to receive write data over any number of cycles with any number of idle cycles between any two data cycles. however, for the tx4955 processor implementation, data begins to arrive on the cycle immediately following the write issue cycle, and continues to arrive at a programmed data rate thereafter. the processor drives data at the rate specified by the data rate configuration signals (see the section describing data rate control, later in this chapter). writes may be cancelled and retried with the eok* signal (see the section earlier, issuing commands). figure 11.3.14 illustrates the bus transactio ns for a 4-word data cache block store. figure 11.3.14 processor block write request with d data rate figure 11.3.15 illustrates a write request which is cancelled by the deassertion of eok* during the address cycle of the second write, and which is retried when eok* is asserted again. figure 11.3.15 processor single write request followed by a cancelled and retried write request data 0 data write data data eod data 3 data 2 data 1 addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster * data 0 write write write eod eod eod data 1 data 1 addr 1 addr 1 addr 0 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster *
chapter 11 tx4955 system interface 11-49 11.3.9 processor read request a processor read request is issued by the following: ? driving a read command on the syscmd bus ? driving a read address on the sysad bus ? asserting pvalid* only one processor read request may be pending at a time. the processor must wait for an external read response before starting a subsequent read. the processor moves to slave state after the issue cycle of the read request, by deasserting the pmaster* signal. an external agent may then return the requested data through a read response. the external agent, which is now bus master, may issue any number of writes before sending the read response data. an example of a processor read request and an uncompelled change to slave state occurring as the read request is issued is illustrated in figure 11.3.16. read addr 812 611 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster * master slave figure 11.3.16 processor read request the tx4955 support the read time out function. this function is to detect a time out error when response data are not returned within a certain time. see chapter 11.3.20 mode register of system interface (g2sconfig). 11.3.10 external write request external write requests are similar to a processor singl e write except that the signal evalid* is asserted instead of the signal pvalid*. an external write request consists of the following: ? an external agent driving a write command on the syscmd bus and a write address on the sysad bus ? asserting evalid* for one cycle ? driving a data identifier on the syscmd bus and data on the sysad bus ? asserting evalid* for one cycle. the data identifier associated with the data cycle must contain a last data cycle indication. note that the external agent must gain and mainta in bus mastership during these transactions (see ereq* in the timing summary, earlier in this chapter). an external write request example with the processor initially in master state is illustrated in figure 11.3.17.
chapter 11 tx4955 system interface 11-50 figure 11.3.17 external write request an example of a read response for a processor single word read request that is interrupted by an external agent write request is illustrated in figure 11.3.21. external writes can not occur in the middle of a data response block; they can, however, occur before th e first data response of the data block or after the last eod response, but it can not occur between them. note: the only writable resources are processor interrupts. an external write to any address is treated as a write to the processor interrupts. eod write data addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd ereq * evalid * pvalid * pmaster *
chapter 11 tx4955 system interface 11-51 11.3.11 external read response an external agent returns data to the processor in response to a processor read request by waiting for the processor to move to slave state. the external agent then returns the data through either a single data cycle or a series of data cycles sufficient to transmit the requested data. after the last data cycle is issued, the read response is complete and the processor becomes master (assuming ereq* was not asserted). if, at the end of the read response cycles, ereq* has been asserted, the processor remains in slave state until the external agent relinquishes the bus. when the processor is in slave state and needs access to the sysad bus, it waits until ereq* is deasserted. the data identifier associated with a data cycle ma y indicate that the data transmitted during that cycle is erroneous; however, an external agent must return a block of data of the correct size regardless of this erroneous data cycle indication. if a read response includes one or more erroneous data cycles, the processor takes a bus error. read response data must only be delivered to the processor when a processor read request is pending. the state of the processor is undefined if a read respon se is presented to it when no processor read is pending. an example of a processor single read request follo wed by a read response is illustrated in figure 11.3.18. figure 11.3.18 single read request followed by read response a read response example for a proces sor block read with the system interface already in slave state is illustrated in figure 11.3.19. figure 11.3.19 block read response, system interface already in slave state eod data read addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok evalid pvalid pmaster data 7 data 1 data 0 eod data data 12 16 10 15 14 13 11 4 3 2 1 sysad cycle masterclcok syscmd evalid * pvalid * pmaster *
chapter 11 tx4955 system interface 11-52 a read response example for a processor single read request followed by an external agent write request is illustrated in figure 11.3.20. figure 11.3.20 single read request followed by external write request (external agent keeps bus) an example of a read response for a processor single word read request that is interrupted by an external agent write request is illustrated in figure 11.3.21. cycle 5 is the data for the external write request in cycle 4. cycle 7 is the read response data. figure 11.3.21 external write followed by external read response, system interface in slave state write eod eod data data read addr addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * ereq * evalid * pvalid * pmaster * write eod eod data data read addr addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd eok * evalid * pvalid * pmaster *
chapter 11 tx4955 system interface 11-53 11.3.12 flow control eok* may be used by an external agent to control the flow of processor read and write requests; while eok* is deasserted the processor will repeat the current address cycle until an external agent signals it is ready, by asserting eok*. there is a one cycle delay from the assertion of eok* to the state in which the read/write command becomes valid. eok* must be asserted for two consecutive cycles for the command issue completion. examples of eok* use are given in figure 11.3.22 and figure 11.3.23. figure 11.3.22 delayed processor read request figure 11.3.23 two processor write requests, second write delayed read addr 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd eok * pvalid * pmaster * eod data eod data write write addr1 addr0 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclcok syscmd eok * pvalid * pmaster *
chapter 11 tx4955 system interface 11-54 11.3.13 data rate control the system interface supports a maximum data rate of one word per cycle, and an external agent may deliver data to the processor at this maximum data rate. the rate at which data is delivered to the processor can be controlled by the external agent by driving data and asserting evalid* only when it wants data to be available. the processor interprets cycles as valid data cycl es when evalid* is asserted and the syscmd bus contains a data identifier. the processor continues to accept data until the end of data (eod) indicator is received. the rate at which the processor transmits data to an external agent is programmed in the wbrate field in g2s config register. data patterns are specified using the letters w and x (w indicates a word size data cycle and x indicates an unused, or idle, cycle). a data pattern is specified as a sequence of data and unused cycles that will be repeated to provide the ap propriate number of data cycles for a given transfer. for example, a data pattern of wwxx indicates a data rate of two words every four cycles. the tx4955 supports two data rates, w and wxx. during a cycle indicated by an x, the processor continues to hold the same data as the previous cycle. a processor block write request for two words with wx x pattern is illustrated in figure 11.3.24; this transaction results from a store doubleword instruction. figure 11.3.24 processor block write request with wxx data rate 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster * data 1 data 1 data 1 data 0 data 0 data 0 addr data 2 data 2 data 2 data data write data
chapter 11 tx4955 system interface 11-55 11.3.14 consecutive sysad bus transactions the following figures (figure 11.3.25 to figure 11 .3.28) illustrate the minimum cycles required between consecutive bus transactions. figure 11.3.25 processor single word read followed by block write request figure 11.3.26 consecutive processor single word write requests with d data rate figure 11.3.27 consecutive processor single word write requests with wxx data rate 8 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd evalid * eok * pvalid * pmaster * data addr0 data 1 data 0 addr1 data 3 data 2 eod read data data write eod data eod eod eod data addr2 data addr1 data write write write addr0 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster * eod eod data data data data data addr1 data write write addr0 8 12 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd eok * pvalid * pmaster *
chapter 11 tx4955 system interface 11-56 figure 11.3.28 consecutive processor write requests followed by external write request ? block read maximum rate maximum block reads can occur with the following data rate: axw...wxaxw...w (1 cycle between w and a) where a is the address, w is a word data (four words, or wwww, in the data cache miss, and 8 words, or wwwwwwww, in an instruction cache miss), and x is an idle cycle. (when 1 bit of the mode register of system interface (g2sconfig[1]) is set to 1, then 8 words or wwwwwwww burst read can occur in an data cache miss.) ? back-to-back instruction cache misses with a cpuclk to masterclock ratio of 2:1, back-to-back instruction cache misses can be refilled with the following data rate: axwwwwwwwwxxxxxxaxwwwwwwww (6 cycles between w and a) that is, the address is followed by an idle cycle, the instruction is executed, six idle cycles occur, followed by the next address. this pattern is valid for the case in which two sequential instructions miss in the instruction cache, each instruction residing on a different cache line. running completely in uncached space (every instruction is uncached and a cache miss) results in a similar data pattern: axwxxxxxxaxw (6 cycles between w and a) ? back-to-back uncached loads with a cpuclk to masterclock ratio of 2:1, back-to-back uncached doubleword data loads have the following data rate: axwwxxxxxxaxww (6 cycles between w and a) that is, the address is followed by an idle cycle, a doubleword data (two words data), six idle cycles, and the next address. with a cpuclk to masterclock ratio of 2:1, back-to-back uncached word data loads have the following data rate: axwxxxxxxaxw (6 cycles between w and a) that is, the address is followed by an idle cycle, a word data, six idle cycles, and the next address. eod eod eod data data data write write write addr addr1 addr0 8 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd ereq * evalid * eok * pvalid * pmaster *
chapter 11 tx4955 system interface 11-57 11.3.15 starvation and deadlock avoidance careful use of the ereq* signal allows a system to avoid starvation and deadlock situations. whenever an external agent needs the bus, it can request the bus by asserting ereq*. the external agent is guaranteed to gain mastership of the bus af ter accepting at most one read/write request from the processor. if the external agent also deasserts eok * , it is guaranteed to gain mastership of the bus without accepting any read/write request from the processor. the external agent can allow the processor to gain bus mastership, perform one read/write request and then relinquish mastership by the following sequence of actions: 1. deassert ereq * 2. assert ereq * 3. arbitrate for the bus while asserting eok* the minimum deassertion of ereq* can be one cycle in length. shows an external agent relinquishing the bus to allow a single read/write request from the processor. the external agent must be read y to accept this request by keeping eok* asserted, otherwise the read/write request is held off or killed and the pro cessor relinquishes bus mastership without extending a request. this could lead to starvation of the processor. figure 11.3.29 external agent gives up bus for one processor request 11.3.16 discarding and re-executing read command figure 11.3.30 illustrates how a processor single read request is discarded and reexecuted. the following sequence describes the protocol. ? because the eok* signal is low in cycle 5, the processor tries to issue an address (cycle 6). ? if the eok* signal is high at this point, the processor discards this read request and enters the slave status in the next cycle. ? because the ereq* signal is inactive, the processor returns to the master status again and reissues a read request. because the eok* signal is low in both the cycles 7 and 8, the issuance cycle of the read request is determined. ? the external agent outputs data at the requested address. eod data data 0 data 1 write addr 8 6 11 10 9 7 5 4 3 2 1 sysad cycle masterclock syscmd pvalid * eok * ereq * pmaster *
chapter 11 tx4955 system interface 11-58 figure 11.3.30 discarding and re-executing processor single read request 11.3.17 multiple drivers on the sysad bus in most applications, the sysad bus is a point-to-point connection between the processor and a bidirectional, registered transceiver located in an ex ternal agent. in this application, the sysad bus has two possible drivers: the proc essor and the external agent. however, an application may add additional drivers and receivers to the sysad bus, allowing transmissions over the sysad bus that bypass the pr ocessor. to accomplish this , the external agent(s) must coordinate its use of the sysad bus by using arbitration handshake signals such as ereq* and pmaster*. to implement an independent transmission on the sy sad bus that does not involve the processor, the system executes the following sequence of actions: 1. the external agent(s) requests the sysad bus by asserting ereq*. 2. the processor releases the system interface to slave state. 3. the external agent(s) allows independent transmission over the sysad bus, making certain the evalid* input to the processor is not asserted while the transmission occurs. 4. when the transmission is complete, the external agent(s) deasserts ereq* to return the system interface to master state. to implement multiple drivers, separate valid lines are required for non-processor chips to communicate. eod data hi-z hi-z hi-z addr read 8 6 11 10 9 7 5 4 3 2 1 sysad[31:0] cycle masterclock syscmd[4:0] evalid * pvalid * eok * ereq * pmaster * 12 data addr hi-z hi-z hi-z
chapter 11 tx4955 system interface 11-59 11.3.18 signal codes system interface commands and data identifiers ar e encoded in five bits on the syscmd bus and transmitted between the processor and external agent during address and data cycles. ? when syscmd[4] is a 0, the current cycle is an address cycle and syscmd[3:0] contains a command. ? when syscmd[4] is a 1, the current cycle is a data cycle and syscmd[3:0] identifies data. for commands and data identifiers associated with external requests, all bits and fields have a value or a suggested value. for system interface commands and data identifiers associated with processor requests, reserved bits and reserved fields in the command or data identifier are undefine d, except where noted. for all system interface commands, the syscmd bus specifies the system interface request type. the encoding of syscmd[4] for system interface commands is table 11.3.3. table 11.3.3 encoding of system interface commands in syscmd[4] syscmd[4] command 0 address cycle 1 data cycle for address requests, the remainder of the syscmd bus specifies the attributes of the address request, as follows: ? syscmd[3] encodes the address request type. ? syscmd[2:0] indicates the size of the address requests. the encoding of syscmd[3:2] for address requests is shown in table 11.3.4. table 11.3.4 encoding of syscmd [3] and syscmd[2] for address cycle syscmd[3] command syscmd[2] request size 0 read request 0 single data 1 write request 1 block data note: tx4955 support only external single data write request. the encoding of syscmd[1:0] for block or single address requests is shown in table 11.3.5 and table 11.3.6, respectively. table 11.3.5 encoding of syscmd[1:0] for block address requests syscmd[1:0] block size 0 reserved 1 four words (only data cache) 2 eight words 3 reserved
chapter 11 tx4955 system interface 11-60 table 11.3.6 encoding of syscmd[1:0] for single address requests syscmd[1:0] data size 0 one byte valid (byte) 1 two bytes valid (halfword). 2 three bytes valid (tribyte). 3 four bytes valid (single word) the encoding of syscmd[3:0] for processor data identifiers is described in table 11.3.7. the encoding of syscmd[3:0] for external data identifiers is illustrated in table 11.3.8. table 11.3.7 encoding of syscmd[3 :0] for processor data identifiers syscmd[3] last data element indication 0 last data element 1 not the last data element syscmd[2] reserved syscmd[1] reserved for: good data indication processor drives 0 (data is error free) syscmd[0] reserved for: data checking enable processor drives 1 (disable data checking) table 11.3.8 encoding of syscmd[3:0] for external data identifiers syscmd[3] last data element indication 0 last data element s1 not the last data element syscmd[2] response data indication 0 data is response data 1 data is not response data syscmd[1] good data indication 0 data is error free 1 data is erroneous syscmd[0] reserved for: data checking enable processor ignores this field (suggested drive of 1, disable data checking) note: external read requests for processor resources are not supported in the tx4955 processor.
chapter 11 tx4955 system interface 11-61 11.3.19 physical addresses physical addresses are driven on all 32 bits (bits 31 through 0) of the sysad bus during address cycles. addresses associated with single read and write requests are aligned for the size of the data element; specifically, for single word requests, the low order two bits of the address are zero, for halfword requests, the low order bit of the address is zero. for byte and tribyte requests, the address provided is a byte address. external agents returning read response data must support subblock ordering. addresses associated with block read requests are aligned to the word of the desired data. the order in which data is returned in response to a processor block read request is: ? the word containing the addressed data word is returned first ? the remaining word(s) in the block are returned next, sequentially block writes are always block aligned. 11.3.20 mode register of system interface (g2sconfig) the mode register of system interface (g2sconfig) is a read/write register. this register is used to specify system interface functions and modes of r4300 type protocol. this register is only word-access. table 11.3.9 g2sconfig address field name description 0xf_ff10_0000 g2sconfig mode register of system interface 31 20 19 4 3 2 1 0 reserved toutval toutenable reserved=0 burst8 wbrate figure 11.3.31 g2sconfig register format table 11.3.10 g2sconfig register formats (mode43 * = 0) bit(s) field name description cold reset read/write 31:20 ? reserved undefined read 19:4 toutval set data of read time out counter when the read time out counter function is used, the value should be more than {24+(wait cycles of main memory) 2}. when the read time out counter function is not used, 0xffff must be set 0xffff read/write 3 toutenable enable bit of read time out counter 0: disable (please set 0xffff in toutval) 1: enable 0 read/write 2 ? reserved undefined 1 burst8 data formats at 8-word burst write 0: double burst mode(4 words burst write 2) 1: single burst mode(8 words burst write 1) 0 read/write 0 wbrate set bit of data out formats 0: every cycle data out(wwww) 1: 4-word data out per 12 cycles(wxxwxxwxxwxx) 0 read/write
chapter 11 tx4955 system interface 11-62 11.3.21 read time out counter (mode43 * = 0) this counter is used to detect time-out when data is not returned during read. the counter normally is set by loading the g2sconfig register?s toutval as its initial value. when one of the conditions below is met, the counter counts down one every bus cycle and upon reaching the terminal count of 0, generates a time-out signal and asserts a bus error signal for one cycle before entering an idle state. if none of the following conditions is met, the va lue of toutval is reloaded into the counter. ? toutenable = 1 ? waiting for data
chapter 12 tx4955 processor interrupts 12-1 12. tx4955 processor interrupts five types of interrupt are available on the tx4955. these are: 1. one non-maskable interrupt, nmi 2. six external interrupts 3. seven interrupts by external write through sysad[6:0] 4. two software interrupts 5. one timer interrupt figure 12.1.1 shows tx4955 interrupt control circuit. note: interrupt register can be accessed by external write requeset through system interface. please see chapter 11, ?tx4955 system interface? in detail. figure 12.1.1 tx4955 interrupt control circuit int0 * int1 * int2 * int3 * nmi * int4 * int5 * interrupt register 4 3 2 1 0 6 0 1 2 3 4 6 sysad 16 17 18 19 20 22 5 5 21 timer interrupt l h tint dis tx4955 interrupt and and-or function 1 1 8 8 nmi ie external interrupts nonmaskable interrupt software interrupts interrupts by external write through sysad[6:0] function ip7 ip6 ip5 ip4 ip3 ip2 ip1 ip0 h: int5 * l: timer interrupt 15 cause register[15:8] 8 im0 im1 im2 im3 im4 im5 im6 im7 15 8 exl erl /sysad[5] (buffer) status register[0] status register[1] status register[2] status register[15:8]
chapter 12 tx4955 processor interrupts 12-2 12.1 nonmaskable interrupt the non-maskable interrupt is signaled by asserting the nmi* pin (low), forcing the processor to branch to the reset exception vector. this pin is latched into an internal register by the rising edge of masterclock, as shown in figure 12.1.1. an nmi can also be set by an external write through the sysad bus. on the data cycle, sysad[22] pin acts as the write enable for sysad[6] pin, which is the value to be written as the interrupt. nmi only takes effect when the processor pipeline is running. thus nmi can be used to recover the processor from a software hang (for example, in an infi nite loop) but cannot be used to recover the processor from a hardware hang (for example, no read response from an external agent). nmi cannot cause drive contention on the sysad bus and no reset of external agents is required. this interrupt cannot be masked. the nmi* pin is latched by the rising edge of masterclock. figure 12.1.1 shows the internal derivation of the nm i signal. the nmi* pin is latched into an internal register by the rising edge of masterclock. bit 6 of the interrupt register (means the interrupt by sysad[6]) pin is then ored with the inverted value of nmi* to form the non-maskable interrupt. 12.2 external interrupts external interrupts are set by asserting th e external interrupt pins int[5:0]*. when external interrupts occur, bits 15-10 of the cause register (ip7-ip2) is set to 1, corresponding to int[5:0]* pins respectively shown as figure 12.1.1. the external interrupt by int5* pin and timer interrupt are selectable by tintdis pin. when tintdis is asserted (high), then the external interrupt by int5* is selected. and when tintdis is deasserted (low), then timer interrupt is selected. 12.3 interrupts by external write through sysad[6:0] these interrupts are signaled by driving the sysad[6:0] pins to low during the data cycle. and the sysad[22:16] pins act as the write enables for the sysad[6:0] respectively. external write to the cpu writes to the interrupt register located in the system interfcace. during a data cycle, sysad[22:16] pins acts as the write enables for the seven individual interrupt register bits and sysad[6:0] pins are the values to be written into these bits. this allows any subset of the interrupt register to be set or cleared with a single write request. bits 4-0 of the interrupt register are bit-wise ored with the current value of the interrupt pins int[4:0]* and the result is directly set to bits 14-10 of the cause register (ip6-ip2). bit 5 of the interrupt register (means sysad[5] pin) is ored with the current value of the external interrupt pin int[5]*. when tintdis is asserted (high), this ored signal is selected. and when tintdis is deasserted (low), then the timer interrupt is selected. the result is set to bit 15 of the cause register (ip7). the interrupt by sysad[6] pin is ored with the inverted value of nmi* pin to form the non-maskable interrupt. 12.4 software interrupt software interrupts use bits 1 and 0 of the interrupt pending, ip , field in the cause register. these may be written by software, but there is no hardware mechanism to set or clear these bits.
chapter 12 tx4955 processor interrupts 12-3 12.5 timer interrupt when the timer interrupt occurs, the bit 15 of cause register is set to 1, which is bit 7 of the interrupt pending, ip, filed in the cause register. bit5 of the interrupt register (means sysad[5] pin) is ored with the current value of the external interrupt pin int[5]*. when tintdis is asserted (high), this ored signal is selected. and when tintdis is deasserted (low), then the timer interrupt is selected. the re sult is set to bit 15 of the cause register (ip7). 12.6 masking of the tx4955 interrupts figure 12.1.1 also shows the masking of the processor interrupt signals. bits 15-8 of the cause register (ip7-ip0) are and-ored with bits 15-8 of the status register (im7-im0) to mask individual interrupts. bit 0 of the status register (ie) is a global interrupt enable. it is anded with the output of the and-or logic to produce the processor interrupt signal. bit 1 and 2 of the status register (exl and erl) also enable these interrupts. 12.7 recognition of the processor interrupts the results of the processor interrupts directly readable as bits 15-8 of the cause register. if any interrupts are occurred, the processor software needs to read the cause register to recognize what type of interrupts is occurred.
chapter 12 tx4955 processor interrupts 12-4
chapter 13 power-saving modes 13-1 13. power-saving modes the tx4955 has these power-saving modes: ? halt mode ? doze mode the tx4955 enters neither halt nor doze mode by just programming the config register. the wait instruction puts the tx4955 in halt or doze mode. 13.1 halt mode the halt mode reduces power consumption by halting the tx4955 almost altogether. in halt mode, the internal clocks of the tx49/h4 core within the tx4955 are partially stopped. if the halt bit in the config register is cleared, executing the wait instruction in normal operation mode causes the tx4955 to enter halt mode. when the tx4955 enters halt mode, the haltdoze signal is asserted. even when an external agent has ownership of the sysad bus, executing the wait instruction puts the tx4955 in halt mode, but the haltdoze signal is asserted after the current bus cycle is completed. if the on-chip write buffer has any store information, the wait instruction puts the tx4955 in halt mode after it is emptied. assertion of int[5:0]* signal, nmi* signal, coldreset* signal or reset* signal brings the tx4955 out of halt mode. the tx4955 recognizes the int[5:0]* signal inputs, irrespective of the settings of the intmask bits in the status register. on a return from halt mode by nmi* signal, coldreset* signal, reset* signal or not masked int[5:0]* signals, the tx4955 takes a corresponding exception. at this time, the epc register points to the address of the instruction following the wait instruction. when the tx4955 is taken out of halt mode as the result of a masked int[5:0]* signal, processing resu mes from the instruction following the one that was being executed when the tx4955 went into halt mode. 13.2 doze mode the doze mode is also a software-controlled featur e that reduces power consumption of the tx4955. in doze mode, the cpu pipeline status is retained and the internal clocks of the tx4955 are not stopped. if the halt bit in the config register is set, executing the wait instruction causes the tx4955 to enter doze mode. when the tx4955 enters doze mode, the haltdoze signal is asserted. even when an external agent has ownership of the sysad bus, executing the wait instruction puts the tx4955 in doze mode, but the haltdoze signal is asserted after the current bus cycle is completed. if the on-chip write buffer has any store information, the wait instruction puts the tx4955 in doze mode after it is emptied. assertion of int[5:0]* signal, nmi* signal, coldreset* signal or reset* signal brings the tx4955 out of doze mode. the tx4955 recognizes the int[5:0]* signal inputs, irrespective of the settings of the intmask bits in the status register. on a return from doze mode by nmi* signal, coldreset* signal, reset* signal or not masked int[3:0]* signal, the tx4955 takes a corresponding exception. at this time, the epc register points to the address of the instruction following the wait instruction. when the tx4955 is taken out of doze mode as the result of a masked int[5:0]* signal, processing resu mes from the instruction following the one that was being executed when the tx4955 went into doze mode.
chapter 13 power-saving modes 13-2 13.3 status shifts figure 13.3.1 shows the status shifts in the operation mode of the tx4955. figure 13.3.1 status shift among normal operation mode and low power consumption modes when operation status shifts from the normal operation mode to the halt mode, it is returned to the normal operation mode by an interrupt or a reset. similarly, wh en it shifts from the normal operation mode to the doze mode, it is returned to the normal operation mode by an interrupt or a reset. after a reset, the tx4955 is initialized to the normal operation mode. power-on-reset any of int[5:0] * is set to 0 or nmi * = 0 or coldreset * = 0 or reset * = 0 halt bi t = 1 & wait inst halt bit = 0 & wait inst. halt mode norma o p eration mode doze mode any of int[5:0] * is set to 0 or nmi * = 0 or coldreset * = 0 or reset * = 0
chapter 14 jtag interface 14-1 14. jtag interface the tx4955 processor provides a boundary-scan interface that is compatible with joint test action group (jtag) specifications, using the industry-stand ard jtag protocol (ieee standard 1149.1/d6). this chapter describes that interface, including descriptions of boundary scanning, the pins and signals used by the interface, and the test access port (tap). note: when performing a jtag operation, be sure to run the masterclock before and after a reset operation to properly release the processor reset. 14.1 what boundary scanning is with the evolution of ever-denser integrated ci rcuits (ics), surface-mounted devices, double-sided component mounting on printed-circuit boards (pcbs), and buried vias, in-circuit tests that depend upon making physical contact with internal board and chip connections have become more and more difficult to use. the greater complexity of ics has also meant that tests to fully exercise these chips have become much larger and more difficult to write. one solution to this difficulty has been the development of boundary-scan circuits. a boundary-scan circuit is a series of shift register cells placed between each pin and the internal circuitry of the ic to which the pin is connected, as shown in figure 14.1.1. normally, these boundary-scan cells are bypassed; when the ic enters test mode, however, the scan cells can be directed by the test program to pass data along the shift register path and perform various diagnostic tests. to accomplish this, the tests use the four signals described in the next section: jtdi, jtdo, jtms, jtck, and trst*. figure 14.1.1 jtag boundary-scan cells integrated circuit ic package pin boundary-scan cells
chapter 14 jtag interface 14-2 14.2 signal summary the jtag interface signals are listed below and shown in figure 14.2.1. ? jtdi jtag serial data in ? jtdo jtag serial data out ? jtms jtag test mode select ? jtck jtag serial clock input ? trst * jtag test reset input figure 14.2.1 jtag interface signals and registers the jtag boundary-scan mechanism (referred to in this chapter as jtag mechanism ) allows testing of the connections between the proces sor, the printed circuit board to which it is attached, and the other components on the circuit board. the jtag mechanism does not provide any capability for testing the processor itself. 70 instruction register tap controller 0 bypass register 0 235(TMPR4955C) boundary-scan register 31 0 device identification register jtdi pin jtdo pin jtms pin jtck pin trst * pin 86 (tmpr 4955b)
chapter 14 jtag interface 14-3 14.3 jtag controller and registers the processor contains the following jtag controller and registers: ? instruction register ? boundary-scan register ? bypass register ? device id code register ? test access port (tap) controller the basic operation of jtag is for the tap controller state machine to monitor the jtms input signal. when it occurs, the tap controller determines the test functionality to be implemented. this includes either loading the jtag instruction register (ir), or beginning a se rial data scan through a data register (dr), listed in table 14.3.1. as the data is scanned in, the state of the jtms pin signals each new data word, and indicates the end of the data stream. the data register to be selected is determined by the contents of the instruction register. 14.3.1 instruction register the jtag instruction register includes eight shift regist er-based cells; this register is used to select the test to be performed and/or the test data register to be accessed. as listed in table 14.3.1, this encoding selects either the boundary-scan register or the bypass register or device identification register. table 14.3.1 jtag instruction register bit encoding instruction code (msb lsb) instruction selected data register 00000000(0x00) extest boundary-scan register 00000001(0x01) sample/preload boundary-scan register 00000010(0x02) reserved reserved 00000011(0x03) idcode device identification register 00000100 to 01111111 reserved reserved 10000000 to 11111110 debug support unit please refer dsu section 11111111(0xff) bypass bypass register figure 14.3.1 shows the format of the instruction register. 7 6 5 4 3 2 1 0 msb lsb figure 14.3.1 instruction register the instruction code is shifted out to the instruction register from the lsb. figure 14.3.2 instruction register shift direction lsb jtdo jtdi msb
chapter 14 jtag interface 14-4 14.3.2 bypass register the bypass register is 1 bit wide. when the tap contro ller is in the shift-dr (bypass) state, the data on the jtdi pin is shifted into the bypass register, and the bypass register output shifts to the jtdo output pin. in essence, the bypass register is a short-circuit which allows bypassing of board-level devices, in the serial boundary-scan chain, which are not required for a specific test. the logical location of the bypass register in the boundary-scan chain is shown in figure 14.3.3. use of the bypass register speeds up access to boundary-scan registers in those ics that remain active in the board-level test datapath. figure 14.3.3 bypass register operation jtdo board input ic package board jtdi bypass register boundary-scan register pad cell board outpu t jtdi jtdi jtdo jtdo jtdo jtdi jtdo jtdi
chapter 14 jtag interface 14-5 14.3.3 boundary-scan register(bsr) table 14.3.2 and table 14.3.3 show the boundary scan order of the processor signals. table 14.3.2 tmpr4955b jtag boundary-scan ordering [jtdi] 1: sysen1 2: sysen2 3: sysen3 4: sysen4 5: bufsel[1] 6: sysad[4] 7: sysad[5] 8: sysad[6] 9: sysad[7] 10: sysad[8] 11: sysad[9] 12: sysad[10] 13: sysad[11] 14: sysad[12] 15: sysad[13] 16: sysad[14] 17: sysad[15] 18: bufsel[0] 19: pcst[3] 20: pcst[2] 21: pcst[1] 22: pcst[0] 23: rdrdy * 24: wrrdy * 25: validin * 26: validout * 27: release * 28: pllreset * 29: tintdis 30: syscmd[0] 31: syscmd[1] 32: syscmd[2] 33: syscmd[3] 34: syscmd[4] 35: syscmd[5] 36: syscmd[6] 37: syscmd[7] 38: syscmd[8] 39: syscmdp 40: haltdoze 41: int[0] * 42: int[1] * 43: int[2] * 44: int[3] * 45: int[4] * 46: int[5] * 47: tpc[3] 48: tpc[2] 49: tpc[1] 50: dclk 51: nmi * 52: extrqst * 53: reset * 54: coldreset * 55: endian 56: sysad[16] 57: sysad[17] 58: sysad[18] 59: sysad[19] 60: sysad[20] 61: sysad[21] 62: sysad[22] 63: sysad[23] 64: sysad[24] 65: sysad[25] 66: sysad[26] 67: sysad[27] 68: mode43 * 69: divmode[1] 70: divmode[0] 71: sysad[28] 72: sysad[29] 73: sysad[30] 74: sysad[31] 75: sysadc[2] 76: sysadc[3] 77: sysadc[0] 78: sysadc[1] 79: sysad[0] 80: sysad[1] 81: sysad[2] 82: sysad[3] 83: pcst[8] 84: pcst[7] 85: pcst[6] 86: pcst[5] 87: pcst[4] [jtdo] note: masterclock and divmode[2] do not support scan registers. coldreset * , reset * , bufsel[0] and bufsel[1] signals are handled as internal signals.
chapter 14 jtag interface 14-6 table 14.3.3 TMPR4955C jtag boundary-scan ordering [jtdi] 1: bufsel 2: internal pad 3: internal pad 4: internal pad 5: internal pad 6: internal pad 7: internal pad 8: internal pad 9: internal pad 10: internal pad 11: sysad[4] in 12: sysad[4] out 13: sysad[5] in 14: sysad[5] out 15: sysen1 16: sysad[6] in 17: sysad[6] out 18: sysad[7] in 19: sysad[7] out 20: sysad[8] in 21: sysad[8] out 22: sysad[9] in 23: sysad[9] out 24: sysen2 25: sysad[10] in 26: sysad[10] out 27: sysad[11] in 28: sysad[11] out 29: sysad[12] in 30: sysad[12] out 31: sysad[13] in 32: sysad[13] out 33: sysen3 34: sysad[14] in 35: sysad[14] out 36: internal pad 37: internal pad 38: sysad[15] in 39: sysad[15] out 40: internal pad 41: internal pad 42: internal pad 43: internal pad 44: internal pad 45: pcst[3] 46: pcst[2] in 47: pcst[2] out 48: internal pad 49: internal pad 50: sysen4 51: pcst[1] in 52: pcst[1] out 53: pcst[0] in 54: pcst[0] out 55: internal pad 56: internal pad 57: internal pad 58: internal pad 59: internal pad 60: internal pad 61: internal pad 62: rdrdy * 63: wrrdy * 64: internal pad 65: internal pad 66: internal pad 67: validin * 68: validout * 69: internal pad 70: internal pad 71: release * 72: internal pad 73: pllreset * 74: tintdis 75: syscmd[0] in 76: syscmd[0] out 77: sysen5 78: syscmd[1] in 79: syscmd[1] out 80: syscmd[2] in 81: syscmd[2] out 82: syscmd[3] in 83: syscmd[3] out 84: sysen6 85: syscmd[4] in 86: syscmd[4] out 87: syscmd[5] in 88: syscmd[5] out 89: sysen7 90: syscmd[6] in 91: syscmd[6] out 92: syscmd[7] in 93: syscmd[7] out 94: sysen8 95: syscmd[8] in 96: syscmd[8] out 97: syscmdp in 98: syscmdp out 99: haltdoze 100: int[0] * 101: int[1] * 102: int[2] * 103: int[3] * 104: int[4] * 105: int[5] * 106: internal pad 107: internal pad 108: internal pad 109: internal pad 110: internal pad 111: tpc[3] in 112: tpc[3] out 113: internal pad 114: internal pad 115: sysen9 116: tpc[2] in 117: tpc[2] out 118: tpc[1] in 119: tpc[1] out 120: dclk 121: nmi * 122: internal pad 123: internal pad 124: extrqst * 125: internal pad 126: internal pad 127: internal pad 128: reset * 129: internal pad 130: internal pad 131: coldreset * 132: internal pad 133: internal pad 134: internal pad 135: internal pad 136: internal pad 137: endian 138: internal pad 139: internal pad 140: sysad[16] in 141: sysad[16] out 142: sysad[17] in 143: sysad[17] out 144: sysen10 145: sysad[18] in 146: sysad[18] out 147: sysad[19] in 148: sysad[19] out 149: sysad[20] in 150: sysad[20] out 151: sysad[21] in 152: sysad[21] out 153: sysen11 154: sysad[22] in 155: sysad[22] out 156: sysad[23] in 157: sysad[23] out 158: sysad[24] in 159: sysad[24] out 160: sysad[25] in 161: sysad[25] out 162: internal pad 163: internal pad 164: sysen12 165: sysad[26] in 166: sysad[26] out 167: internal pad 168: internal pad 169: internal pad 170: sysad[27] in 171: sysad[27] out 172: internal pad 173: internal pad 174: mode43 * 175: internal pad 176: internal pad 177: divmode[1] 178: internal pad 179: internal pad 180: internal pad 181: divmode[0] 182: internal pad 183: internal pad 184: sysad[28] in 185: sysad[28] out 186: sysen13 187: sysad[29] in 188: sysad[29] out 189: internal pad 190: internal pad 191: internal pad 192: internal pad 193: internal pad 194: internal pad 195: internal pad 196: sysad[30] in 197: sysad[30] out 198: sysen14 199: internal pad 200: internal pad 201: internal pad 202: internal pad 203: internal pad 204: internal pad 205: internal pad 206: sysad[31] in 207: sysad[31] out 208: sysadc[2] in 209: sysadc[2] out 210: sysadc[3] in 211: sysadc[3] out 212: sysen15 213: sysadc[0] in 214: sysadc[0] out 215: sysadc[1] in 216: sysadc[1] out 217: sysad[0] in 218: sysad[0] out 219: sysad[1] in 220: sysad[1] out 221: sysen16 222: sysad[2] in 223: sysad[2] out 224: sysad[3] in 225: sysad[3] out 226: pcst[8] 227: pcst[7] 228: pcst[6] 229: pcst[5] 230: pcst[4] 231: internal pad 232: internal pad 233: internal pad 234: internal pad 235: internal pad 236: divmode[2] [jtdo] note: masterclock does not support scan registers. bidirectional signals have eahch pair of scan registers. coldreset * , reset * and bufsel signals are handled as internal signals. figure 14.3.4 shows the boundary-scan register. the boundary-scan register includes all of the inputs and outputs of the tx4955 processor, except some clock and phase lock loop signals. the pins of the tx4955 chip can be configured to drive any arbitrary pattern by scanning into the boundary-scan register from the shift-dr state. incoming data to the processo r is examined by shifting while in the capture-dr state with the boundary-scan register enabled.
chapter 14 jtag interface 14-7 the boundary-scan register is a single, 87-bit-wide for tmpr4955b or 236-bit-wide for TMPR4955C, shift register-based path containing cells connected to all input and output pads on the tx4955 processor. 86(tmpr4955b) 0 235(TMPR4955C) see bsdl file in detail attached in the production figure 14.3.4 format of the boundary-scan register 4 bits (sysen) of the bsr are jtag output enables. 79 bits of the bsr are for 79 external signals of the processor. 4 bits of the bsr are for internal signals of the processor. at the end of this chapter, table 14.3.2 lists the s can order of these 87 scan bits, starting from jtdi and ending with jtdo. <TMPR4955C> 16 bits (sysen) of the bsr are jtag output enables. 132 bits of the bsr are for 80 external signals of the processor. 88 bits of the bsr are for internal signals of the processor. at the end of this chapter, table 14.3.3 lists the scan order of these 236 scan bits, starting from jtdi and ending with jtdo. the jtdi input is loaded to the msb of the boundary scan register. the lsb of the boundary scan register is retrieved from the jtdo output. 14.3.4 device identification register the device identification register is a 32-bit shift register. it is used to read serially from the ic the identification code indicating the ic manufacturer, product number, and version. the following shows the device identification register structure. 31 28 27 12 11 1 0 version product number manufacturer identification code 1 4 bits 16 bits 11 bits figure 14.3.5 device identification register the tx4955 device identification codes are as follows; tmpr4955b: 0x10017031 TMPR4955C: 0x0002c031 the device identification code is shifted out starting from the lsb. figure 14.3.6 devide identification register shift direction lsb jtdo msb
chapter 14 jtag interface 14-8 14.3.5 test access port (tap) the test access port (tap) consists of the five signal pins: trst* jtdi, jtdo, jtms, and jtck. serial test data and instructions are communicated over these five signal pins, along with control of the test to be executed. as figure 14.3.7 shows, data is serially scanned in to one of the four registers (instruction register, bypass register, device identification register, or the boundary-scan register) from the jtdi pin, or it is scanned from one of these four registers onto the jtdo pin. the jtms input controls the state transitions of the main tap controller state machine. the jtck input is a dedicated test clock that allo ws serial jtag data to be shifted synchronously, independent of any chip-specific or system clocks. figure 14.3.7 jtag test access port data on the jtdi and jtms pins is sampled on the rising edge of the jtck input clock signal. data on the jtdo pin changes on the falli ng edge of the jtck clock signal. 14.3.6 tap controller the processor implements the 16-state tap controller as defined in the ieee jtag specification. 14.3.7 controller reset the tap controller state machine can be put into reset state the following: ? assertion of the trst* signal (low) resets the tap controller. ? keeping the jtms input signal a sserted through five consecutive rising edges of jtck input. jtck data scanned out serially jtdo sampled on falling edge of jtck jtms and jtdi sampled on rising edge of jtck data scanned in serially jtdi pin jtms pin jtdo pin 0 0 7 instruction register bypass register 86(tmpr4955b) 235(TMPR4955C) boundary-scan registe 0 0 0 7 instruction register bypass register boundary-scan register 0 31 device identification register 0 86(tmpr4955b) 235(TMPR4955C)
chapter 14 jtag interface 14-9 14.3.8 tap controller the state transition diagram of the tap controller is shown in figure 14.3.8. each arrow between states is labeled with a 1 or 0, indicating the logic value of jtms that must be set up before the rising edge of jtck to cause the transition. figure 14.3.8 tap controller state diagram the following paragraphs describe each of the controller state. the left vertical column in figure 14.3.8 is the data column, and the right vertical co lumn is the instruction co lumn. the data column and instruction column reference data register (dr) and instruction register (ir), respectively. test-logic-reset 1 0 0 1 0 1 run-test/idle select-dr-scan 1 1 capture-dr 0 shift-dr 1 exit 1-dr 0 pause-dr 1 exit 2-dr 1 update-dr 0 1 0 0 1 select-ir-scan capture-ir 0 shift-ir 1 exit 1-ir 0 pause-ir 1 exit 2-ir 1 update-ir 0 1 1 00 1 0 0 0
chapter 14 jtag interface 14-10 ? test-logic-reset when the tap controller is in the test-logic-reset state, the value 0x3 is loaded into the parallel output latch, selecting the device identification register as default. the three most significant bits of the boundary-scan register are cleared to 0, disabling the outputs. the controller remains in this state while jtms is high. if jtms is held low while the controller is in this state, then the controller moves to the run-test/idle state. ? run-test/idle in the run-test/idle state, the ic is put in a test mode only when certain instructions such as a built-in self test (bist) instruction are present. for instructions that do not cause any activities in this state, all test data registers selected by the current instruction retain their previous states. the controller remains in this state while jtms is held low. when jtms is high, the controller moves to the select-dr-scan state. ? select-dr-scan this is a temporary controller state. here, the ic does not execute any specific functions. if jtms is held low when the controller is in this state, then the controller moves to the capture-dr state. if jtms is held high, the cont roller moves to the select-ir-scan state in the instruction column. ? select-ir-scan this is a temporary controller state. here, the ic does not execute any specific functions. if jtms is held low when the controller is in this state, then the controller moves to the capture-ir state. if jtms is held high, the controller returns to the test-logic-reset state. ? capture-dr in this controller state, if the test data register selected by the current instruction on the rising edge of jtck has parallel inputs, then data can be parallel-loaded into the shift portion of the data register. if the test data register does not have parallel inputs, or if data need not be loaded into the selected data register, then the data register retains its previous state. if jtms is held low while the controller is in this state, the controller moves to the shift-dr state. if jtms is held high, the controller moves to the exit1-dr state. ? shift-dr in this controller state, the test data register connected between jtdi and jtdo shifts data one stage towards its serial output. when the controller is in this state, then it remain s in the shift-dr state if jtms is held low, or moves to the exit1-dr state if jtms is held high.
chapter 14 jtag interface 14-11 ? exit 1-dr this is a temporary controller state. if jtms is held low when the controller is in this state, then the controller moves to the pause-dr state. if jtms is held high, the controller moves to the update-dr state. ? pause-dr this state allows the shifting of the data register selected by the instruction register to be temporarily suspended. both the instruction register and the data register retain their current states. when the controller is in this state, then it rema ins in the pause-dr state if jtms is held low, or moves to the exit2-dr state if jtms is held high. ? exit 2-dr this is a temporary controller state. when the controller is in this state, then it returns to the shift-dr state if jtms is held low, or moves on to the update-dr state if jtms is held high. ? update-dr in this state, data is latched, on the rising edge of jtck, onto the parallel outputs of the data registers from the shift register path. the data held at the parallel output does not change while data is shifted in the asso ciated shift register path. when the controller is in this state, it moves to either the run-test/idle state if jtms is held low, or the select-dr-scan state if jtms is held high. ? capture-ir in this state, data is parallel-loaded into the instruction register. the value of the loaded data is ?00000001?. the capture-ir state is used for te sting the instruction register. faults in the instruction register, if any exists, may be detected by shifting out the data loaded in it. when the controller is in this state, it moves to either the shift-ir state if jtms is low, or the exit1-ir state if jtms is high. ? shift-ir in this state, the instruction register is c onnected between jtdi and jtdo and shifts the captured data toward its serial out put on the rising edge of jtck. when the controller is in this state, it remains in the shift-ir state if jtms is low, or moves to the exit1-ir state if jtms is high.
chapter 14 jtag interface 14-12 ? exit 1-ir this is a temporary controller state. when the controller is in this stat e, then it moves to either the pause-ir state if jtms is held low, or the update-ir state if jtms is held high. ? pause-ir this state allows the shifting of the instruction register to be temporarily suspended. both the instruction register and the data register retain their current states. when the controller is in this state, it remains in the pause-ir state if jtms is held low, or moves to the exit2-ir state if jtms is held high. ? exit 2-ir this is a temporary controller state. when the controller is in this state, it moves to either the shift-ir state if jtms is held low, or the update-ir state if jtms is held high. ? update-ir this state allows the instruction previously shifte d into the instruction register to be output in parallel on the rising edge of jtck. then it becomes the current instruction, setting a new operational mode. when the controller is in this state, it moves to either the run-test/idle state if jtms is low, or the select-dr-scan state if jtms is high.
chapter 14 jtag interface 14-13 14.4 instructions for jtag this section defines the instructions supplied and the oper ations that occur in response to those instructions. 14.4.1 the extest instruction this instruction is used for external interconnect te st, and targets the boundary scan register between jtdi and jtdo. the extest instruction permits bsr cells at output pins to shift out test patterns in the update-dr state and those at input pins to capture test results in the capture-dr state. typically, before extest is executed, the boundary-scan register (bsr) should be initialized by using the sample/preload instruction. if the bsr is not initialized, invalid data is transferred in the update-dr state. this eliminates the possibility that bus conflicts damage the ic outputs. the flow of data through the boundary-scan register while the ex test instruction is selected is shown in figure 14.4.1, which follows: figure 14.4.1 test data flow while the extest instruction is selected the following steps describe th e basic test algorithm of an external interconnect test. 1. initialize the tap controller to the test-logic-reset state. 2. load the instruction register with sample/pre load. this causes the boundary-scan register to be connected between jtdi and jtdo. 3. initialize the boundary-scan register by shifting in determinate data. 4. then, load the initial test data into the boundary-scan register. 5. load the instruction register with extest. 6. capture the data applied to the input pin into the boundary-scan register. 7. shift out the captured data while simultan eously shifting in the next test pattern. 8. read out the data in the boundary-scan register onto the output pin. steps 6 to 8 are repeated for each test pattern. core logic output jtdo input jtdi boundary scan path
chapter 14 jtag interface 14-14 14.4.2 the sample/preload instruction this instruction targets the boundary-scan register between jtdi and jtdo. as the instruction's name implies, two functions are performed through use of the sample/ preload instruction. ? sample allows the input and output pads of an ic to be monitored. while it does so, it does not disconnect the system logic from the ic pins. th e sample function occurs in the capture-dr controller state. the sample instruction can read the value of the i/o pins of ic on the rising edge of jtck. the flow of data for the sample phase of the sample/preload instruction is shown in figure 14.4.2. figure 14.4.2 test data flow while sample is selected ? preload allows the boundary-scan register to be initialized before another instruction is selected. for example, prior to selection of the extest inst ruction, initialization data is shifted into the boundary-scan register using preload as described in the previous subsection. preload permits shifting of the boundary-scan register wi thout interfering with the normal operation of the system logic. the flow of data for the preload phase of the sample/preload instruction is shown in figure 14.4.3. figure 14.4.3 test data flow while preload is selected output jtdo input jtdi boundary scan path core logic core logic output jtdo input jtdi boundary scan path
chapter 14 jtag interface 14-15 14.4.3 the bypass instruction this instruction targets the bypass register between jtdi and jtdo. the bypass register provides a minimum length serial path through the ic (or between jtdi and jtdo) when the ic is not required for the current test. the bypass instruction does not cause interference to the normal operation of the on-chip system logic. the flow of data through the bypass register while the bypass instruction is selected is shown in figure 14.4.4. figure 14.4.4 test data flow while the bypass instruction is selected 14.4.4 the idcode instruction this instruction targets the device identification register between jtdi and jtdo to identify manufacturer identity, product number, and version number. jtdo jtdi bypass register 1-bit
chapter 14 jtag interface 14-16
chapter 15 cpu instruction set summary 15-1 15. cpu instruction set summary 15.1 introduction each instruction is 32 bits long. these instructions are upward compatible with the mips i, ii and iii instruction set architecture. 15.2 instruction format there are three instruction formats: immediate (i-type) , jump (j-type) and register (r-type), as shown in figure 15.2.1. having just three instruction formats simp lifies instruction decoding. if more complex functions or addressing modes are required, they can be produced with the compiler using combinations of the instructions. immediate (i-type) 31 26 25 21 20 16 15 0 op rs rt immediate jump (j-type) 31 26 25 0 op target register (r-type) 31 26 25 21 20 16 15 11 10 6 5 0 op rs rt rd sa funct op operation code (6 bits) rs source register (5 bits) rt target (source or destination) register, or branch condition (5 bits) rd destination register (5 bits) immediate immediate, branch displacement, address displacement (16 bits) target branch target address (26 bits) sa shift amount (5 bits) funct function (6 bits) figure 15.2.1 instruction formats and subfield mnemonics
chapter 15 cpu instruction set summary 15-2 15.3 instruction set overview 15.3.1 load and store instructions (table 15.3.1) load and store instructions move data between me mory and general purpose registers, and are all i-type instructions. the only directly supported addressing mode is ?base register plus 16-bit signed immediate offset?. table 15.3.1 cpu instruction set: load and store instructions instruction description note lb load byte mips i lbu load byte unsigned mips i lh load halfword mips i lhu load halfword unsigned mips i lw load word mips i lwl load word left mips i lwr load word right mips i sb store byte mips i sh store halfword mips i sw store word mips i swl store word left mips i swr store word right mips i ld load doubleword mips iii ldl load doubleword left mips iii ldr load doubleword right mips iii ll load linked mips ii lld load linked doubleword mips iii lwu load word unsigned mips iii sc store conditional mips ii scd store conditional doubleword mips iii sd store doubleword mips iii sdl store doubleword left mips iii sdr store doubleword right mips iii sync sync mips ii
chapter 15 cpu instruction set summary 15-3 15.3.2 computational instructions (table 15.3.2) computational instructions perform arithmetic, logical or shift operations on values in registers. this instruction format can be r-type or i-type. with r-type instructions, the one or two source operands are computed and its result is saved to one destination regi ster. with i-type instructions, one of the operands is 16-bit immediate data. computational instru ctions can be classified as follows. ? alu immediate ? three-operand register-type ? shift ? multiply/divide table 15.3.2 cpu instruction set: computational instructions instruction description note (alu immediate) addi add immediate mips i addiu add immediate unsigned mips i slti set on less than immediate mips i sltiu set on less than immediate unsigned mips i andi and immediate mips i ori or immediate mips i xori exclusive or immediate mips i lui load upper immediate mips i daddi doubleword add immediate mips iii daddiu doubleword add immediate unsigned mips iii (alu 3-operand, register type) add add mips i addu add unsigned mips i sub subtract mips i subu subtract unsigned mips i slt set on less than mips i sltu set on less than unsigned mips i and and mips i or or mips i xor exclusive or mips i nor nor mips i dadd doubleword add mips iii daddu doubleword add unsigned mips iii dsub doubleword subtract mips iii dsubu doubleword subtract unsigned mips iii (shift) sll shift left logical mips i srl shift right logical mips i sra shift right arithmetic mips i sllv shift left logical variable mips i srlv shift right logical variable mips i srav shift right arithmetic variable mips i dsll doubleword shift left logical mips iii dsrl doubleword shift right logical mips iii dsra doubleword shift right arithmetic mips iii dsllv doubleword shift left logical variable mips iii dsrlv doubleword shift right logical variable mips iii
chapter 15 cpu instruction set summary 15-4 instruction description note dsrav doubleword shift right arithmetic variable mips iii dsll32 doubleword shift left logical + 32 mips iii dsrl32 doubleword shift right logical + 32 mips iii dsra32 doubleword shift right arithmetic + 32 mips iii (multiply and divide) mult multiply mips i multu multiply unsigned mips i div divide mips i divu divide unsigned mips i mfhi move from hi mips i mthi move to hi mips i mflo move from lo mips i mtlo move to lo mips i dmult doubleword multiply mips iii dmultu doubleword multiply unsigned mips iii ddiv doubleword divide mips iii ddivu doubleword divide unsigned mips iii 15.3.3 jump and branch instructions (table 15.3.3) jump and branch instructions change the control flow of a program. all jump and branch instructions occur with a delay of one instruction: that is, the instruction immediately following the jump or branch (this is known as the instruction in the delay slot) always executes while the target instruction is being fetched from storage. branch-likely instructions are us ed for static branch prediction. the instruction in the delay slot is executed only when the branch is taken; the instruction in the delay slot is nullified if the branch is not taken. table 15.3.3 cpu instruction set: jump and branch instructions instruction description note j jump mips i jal jump and link mips i jr jump register mips i jalr jump and link register mips i beq branch on equal mips i bne branch on not equal mips i blez branch on less than or equal to zero mips i bgtz branch on greater than zero mips i bltz branch on less than zero mips i bgez branch on greater than or equal to zero mips i bltzal branch on less than zero and link mips i bgezal branch on greater than or equal to zero and link mips i beql branch on equal likely mips ii bnel branch on not equal likely mips ii blezl branch on less than or equal to zero likely mips ii bgtzl branch on greater than zero likely mips ii bltzl branch on less than zero likely mips ii bgezl branch on greater than or equal to zero likely mips ii bltzall branch on less than zero and link likely mips ii bgezall branch on greater than or equal to zero and link likely mips ii
chapter 15 cpu instruction set summary 15-5 15.3.4 special instructions (table 15.3.4) there are special instructions used for software tr ap. the instruction format is r-type for all two. table 15.3.4 cpu instruction set: special instructions instruction description note syscall system call mips i break break mips i 15.3.5 exception instructions (table 15.3.5) these instructions are r-type or i-type. when the condition of the following instructions is true, thetrap exception occurres. table 15.3.5 cpu instruction set: exception instructions instruction description note tge trap if greater than or equal mips ii tgeu trap if greater than or equal unsigned mips ii tlt trap if less than mips ii tltu trap if less than unsigned mips ii teq trap if equal mips ii tne trap if not equal mips ii tgei trap if greater than or equal immediate mips ii tgeiu trap if greater than or equal immediate unsigned mips ii tlti trap if less than immediate mips ii tltiu trap if less than immediate unsigned mips ii teqi trap if equal immediate mips ii tnei trap if not equal immediate mips ii
chapter 15 cpu instruction set summary 15-6 15.3.6 coprocessor instructions (table 15.3.6) coprocessor instructions invoke coprocessor operations. the format of these instructions depends on which coprocessor is used. table 15.3.6 cpu instruction set: coprocessor instructions instruction description note lwcz load word to coprocessor z (z = 1, 2) mips i swcz store word from coprocessor z (z = 1, 2) mips i mtcz move to coprocessor z (z = 1, 2) mips i mfcz move from coprocessor z (z = 1, 2) mips i ctcz move control to coprocessor z (z = 1, 2) mips i cfcz move control from coprocessor z (z = 1, 2) mips i copz coprocessor operation z (z = 1, 2) mips i bczt branch on coprocessor z true (z = 0, 1, 2) mips i bczf branch on coprocessor z false (z = 0, 1, 2) mips i bcztl branch on coprocessor z true likely (z = 0, 1, 2) mips ii bczfl branch on coprocessor z false likely (z = 0, 1, 2) mips ii ldcz load double coprocessor z (z = 1, 2) mips iii sdcz store double coprocessor z (z = 1, 2) mips iii dmtcz doubleword move to coprocessor z (z = 1, 2) mips iii dmfcz doubleword move from coprocessor z (z = 1, 2) mips iii 15.3.7 cp0 instructions (table 15.3.7) coprocessor 0 instructions are used for operations involving the system control coprocessor (cp0) registers, processor memory management and exception handling. table 15.3.7 instruction set: cp0 instructions instruction description note mtc0 move to cp0 mips i mfc0 move from cp0 mips i dmtc0 doubleword move to cp0 mips iii dmfc0 doubleword move from cp0 mips iii tlbr read indexed tlb entry tlbwi write indexed tlb entry tlbwr write random tlb entry tlbp probe tlb for matching entry cache cache mips iii eret exception return mips iii wait enter power management mode
chapter 15 cpu instruction set summary 15-7 15.3.8 multiply and divide instructions (table 15.3.8) table 15.3.8 extensions to the isa: multiply and divide instructions instruction description note mult multiply (3-operand) multu multiply unsigned (3-operand) dmult doubleword multiply (3-operand) dmultu doubleword multiply unsigned (3-operand) madd multiply and add (3-operand) extended maddu multiply and add unsi gned (3-operand) extended 15.3.9 debug instructions (table 15.3.9) table 15.3.9 extensions to the isa: debug instructions instruction description note ctc0 move control to coprocessor 0 cfc0 move control from coprocessor 0 sdbbp software debug breakpoint extended deret debug exception return extended 15.3.10 other instructions (table 15.3.10) table 15.3.10 other instructions instruction description note pref prefetch 15.4 instruction execution cycles because the tx49/h4 core employs the high-speed multiply and add calculator (mac), multiply instructions, such as mult, multu, dmult and dmul tu are executed faster. and, tx49/h4 core is improved the execution of divide instructions, too. instruction latency repeat (2op/3op) mult 2/3 operand 4/4 1/3 madd 2/3 operand 4/4 1/3 dmult 2/3 operand 7/7 6/6 div 37 36 ddiv 69 68
chapter 15 cpu instruction set summary 15-8 15.5 defining access types access type indicates the size of a tx49 data item to be loaded or stored, set by the load or store instruction opcode. regardless of access type or byte ordering (endianness) , the address given specifies the low-order byte in the addressed field. for a big-endian configuration, the low-order byte is the most-significant byte; for a little-endian configuration, the low-order byte is the least-significant byte. the access type, together with the three low-order bits of the address, determines which byte is accessed within the addressed doubleword (shown in figure 15.5.1). only the combinations shown in figure 15.5.1 are permissible; other combinations cause the address error exception. bytes accessed low-order address bits access type mnemonic (value) 2 1 0 big endian (63-----------------31-----------------0) byte little endian (63-----------------31-----------------0) byte doubleword (7) 0 0 0 0 1 2 3 4 5 6 7 7 6 5 4 3 2 1 0 0 0 0 0 1 2 3 4 5 6 6 5 4 3 2 1 0 septibyte (6) 0 0 1 1 2 3 4 5 6 7 7 6 5 4 3 2 1 0 0 0 0 1 2 3 4 5 5 4 3 2 1 0 sextibyte (5) 0 1 0 2 3 4 5 6 7 7 6 5 4 3 2 0 0 0 0 1 2 3 4 4 3 2 1 0 quintibyte (4) 0 1 1 3 4 5 6 7 7 6 5 4 3 0 0 0 0 1 2 3 3 2 1 0 word (3) 1 0 0 4 5 6 7 7 6 5 4 0 0 0 0 1 2 2 1 0 0 0 1 1 2 3 3 2 1 1 0 0 4 5 6 6 5 4 triplebyte (2) 1 0 1 5 6 7 7 6 5 0 0 0 0 1 1 0 0 1 0 2 3 3 2 1 0 0 4 5 5 4 halfword (1) 1 1 0 6 7 7 6 0 0 0 0 0 0 0 1 1 1 0 1 0 2 2 0 1 1 3 3 1 0 0 4 4 1 0 1 5 5 1 1 0 6 6 byte (0) 1 1 1 7 7 figure 15.5.1 byte access within a doubleword
chapter 15 cpu instruction set summary 15-9 15.6 bit encoding of cpu instruction opcodes the table 15.6.1 shows the bit codes for all tx4956 cpu instructions (isa and extended isa). table 15.6.1 cpu operation code bit encoding opcode 31 26 0 opcode [31:29] [28:26] 0 1 2 3 4 5 6 7 0 specia regimm j jal beq bne blez bgtz 1 addi addiu slti sltiu andi ori xori lui 2 cop0 cop1 cop2 cop3 beql bnel blezl bgtzl 3 daddi daddiu ldl ldr mac * * * 4 lb lh lwl lw lbu lhu lwr lwu 5 sb sh swl sw sdl sdr swr cache 6 ll lwc1 lwc2 pref lld ldc1 ldc2 ld 7 sc swc1 swc2 * scd sdc1 sdc2 sd special function 31 26 5 0 opcode = special special function [5:3] [2:0] 0 1 2 3 4 5 6 7 0 sll * srl sra sllv * srlv srav 1 jr jalr * * syscall break sdbbp sync 2 mfhi mthi mflo mtlo dsllv * dsrlv dsrav 3 mult multu div divu dmult dmult ddiv ddivu 4 add addu sub subu and or xor nor 5 * * slt sltu dadd daddu dsub dsubu 6 tge tgeu tlt tltu teq * tne * 7 dsll * dsrl dsra dsll32 * dsrl32 dsra32
chapter 15 cpu instruction set summary 15-10 regimm rt 31 26 20 16 0 opcode = regimm regimm rt [20:19] [18:16] 0 1 2 3 4 5 6 7 0 bltz bgez bltzl bgezl * * * * 1 tgei tgeiu tlti tltiu teqi * tnei * 2 bltzal bgezal bltzall bgezall * * * * 3 * * * * * * * * copz rs 31 26 25 21 0 opcode = copz copz rs [25:24] [23:21] 0 1 2 3 4 5 6 7 0 mf dmf cf mt dmt ct 1 bc 2 3 co copz rt 31 26 20 16 0 opcode = copz copz rt [20:19] [18:16] 0 1 2 3 4 5 6 7 0 bcf bct bcfl bctl 1 2 3 cop0 function 31 26 5 0 opcode = cop0 cop0 function [5:3] [2:0] 0 1 2 3 4 5 6 7 0 tlbr tlbwi tlbwr 1 tlbp 2 3 eret deret 4 wait 5 6 7
chapter 15 cpu instruction set summary 15-11 mac function 31 26 5 0 opcode = mac mac function [5:3] [2:0] 0 1 2 3 4 5 6 7 0 madd maddu 1 2 3 4 5 6 7 key : * : this opcode is reserved for future use. an attempt to execute it causes a reserved instruction exception. : this opcode is reserved for future use. an attempt to execute it causes a reserved instruction exception. : this opecode indicates an instruction class. the instruction word must be further decoded by examining additional tables that show the values for another instruction field. : this opcode is a coprocessor operation, not a cpu operation. if the processor state does not allow access to the specified coprocessor, the instruction causes a coprocessor unusable exception. it is included in the table because it uses a primary opecode in the instruction encodeing map. : this opcode is reserved for future use, but does not cause a reserved instruction exception in tx4956 implementations. it is treated as ?nop?. : this opcode is valid when bc is only selected in copz rs; in other case, it causes a reserved instruction exception. : this opcode is valid when the processor is operating either in the kernel mode or in the 64-bit non-kernel (user or supervisor) mode; in other case, it causes a reserved instruction exception.
chapter 15 cpu instruction set summary 15-12
chapter16 fpu instruction set details 16-1 16. fpu instruction set details 16.1 instruction formats there are three basic instruction format types: ? immediate instructions (i-type), which include load and store operations. ? register instructions (r-type), which include the two-and three-register floating-point operations. ? branch instructions and move instructions etc. the instruction description subsections that follow sh ow how the three basic instruction formats above are used by: ? load and store instructions ? register transfer instructions ? floating-point computational instructions ? floating-point branch instructions floating-point instructions are mapped onto the coprocessor 1 instructions, defining coprocessor unit number one (cp1) as the floating-point unit. each operation is valid only for certain formats. implementations may support some of these formats and operations only through emulation, but only need support combinations that are valid, which are marked with a v in table 16.1.1 below. table 16.1.1 shows valid fpu instruction formats. table 16.1.1 valid fpu instruction formats source format operation single double word longword add v v r r sub v v r r mul v v r r div v v r r sqrt v v r r abs v v r r mov v v ? ? neg v v r r trunc.l v v ? ? round.l v v ? ? ceil.l v v ? ? loor.l v v ? ? trunc.w v v ? ? round.w v v ? ? ceil.w v v ? ? floor.w v v ? ? cvt.s ? v v v cvt.d v ? v v cvt.w v v ? ? cvt.l v v ? ? c v v r r v: valid r: reserved for future use (if specified, floating-point exception (unimplemented instruction exception) occurs.) ?: not supported (if specified, floating-point exception (unimplemented instruction exception) occurs.)
chapter16 fpu instruction set details 16-2 the coprocessor branch on condition true/false instructions can be used to logically negate any predicate. thus, the 32 possible conditions require only 16 distin ct comparisons, as shown in table 16.1.2 below. table 16.1.2 shows logical negation of predicates by condition true/false. table 16.1.2 logical negation of predicates by condition true/false condition relations mnemonic true false code greater than less than equal unordered invalid operation exception if unordered f t 0 f f f f no un or 1 f f f t no eq neq 2 f f t f no ueq ogl 3 f f t t no olt uge 4 f t f f no ult oge 5 f t f t no ole ugt 6 f t t f no ule ogt 7 f t t t no sf st 8 f f f f yes ngle gle 9 f f f t yes seq sne 10 f f t f yes ngl gl 11 f f t t yes lt nlt 12 f t f f yes nge ge 13 f t f t yes le nle 14 f t t f yes ngt gt 15 f t t t yes 16.2 instruction set summary 16.2.1 floating-point loads, stores, and moves all movement of data between the floating-point coprocessor and memory is accomplished by coprocessor load and store operations, which reference the floating-point coprocessor?s general-purpose registers. these operations are unformatted; no format conversions are performed and, therefore, no floating-point exceptions occur due to these operations. data may also be directly moved between the floating-point coprocessor and the processor by move to coprocessor and move from coprocessor instructions. like the floating-point load and store operations, move to/from operations perform no format conversions and never cause floating-point exceptions. an additional pair of coprocessor registers are available, called floating-point control registers for which the only data movement operation supported are moves to and from processor general-purpose registers. 16.2.2 floating-point computational instructions the floating-point unit?s operation set includes the flowings: ? floating-point addition ? floating-point subtraction ? floating-point multiplication ? floating-point division ? floating-point square root
chapter16 fpu instruction set details 16-3 ? conversion between fixed-point and floating-point format ? conversion between single-precision and double-precision floating-point formats ? floating-point comparision. these operations satisfy ieee standard 754?s requirements for accuracy. specifically, these operations obtain a result which is identical to performing the result with infinite precision and then rounding to the specified format, using the current rounding mode. instructions must specify the certain format of their operands. only the format conversion instructions support mixed format operations. 16.3 load and store instructions in the tx49, the instruction immediately following a load may use the contents of the register loaded. in such cases, the hardware will interlock, requiring additional real cycles, so scheduling load delay slots is still desirable, although not absolutely required for functional code. when the fr bit in the status register equals zero, the floating-point general registers (fgr) are 32-bits wide. when the fr bit in the status register equals one, the floating-point general registers (fgr) are 64-bits wide. the behavior of the load/store instruc tions in dependent on the width of the fgrs. in the load/store operation descriptions, the functions listed in table 16.3.1 are used to summarize the handling of virtual addresses and physical memory. table 16.3.1 load/store common functions function meaning addresstranslation uses the tlb to find the physical address given the virtual address. the function fails and an exception is taken if the required translation is not present in the tlb. loadmemory uses the cache and main memory to find the contents of the word containing the specified physical address. the low-order two bits of the address and the access type field indicates which of each of the four bytes within the data word need to be returned. if the cache is enabled for this access, the entire wordis returned and loaded into the cache. storememory uses the cache, write buffer and main memory to store the word or part of word specified as data in the word containing the specified physical address. the low-order two bits of the address and the access type field indicates which of each of the four bytes within the data word should be stored.
chapter16 fpu instruction set details 16-4 figure 16.3.1 shows the i-type instruction format used by load and store operations. i-type (immediate) base op offset ft 6 26 31 5 5 16 21 16 0 25 20 15 where: op is a 6-bit operation code base is the 5-bit base register specifier ft is a 5-bit. source (for stores) or destination (for loads) fpa register specifier offset is the 16-bit signed immediate offset figure 16.3.1 load and store instruction format all coprocessor loads and stores reference aligned word data items. thus, for word loads and stores, the access type field is always word, and the low-order two bits of the address must always be zero. for double word loads and stores, the access type field is always doubleword, and the low-order three bits of the address must always be zero. regardless of byte-numbering order (endianness), the address specifies that byte which has the smallest byte-address of all of the bytes in the addressed field. for a big-endian machine, this is the leftmost byte; for a little-endian machine, this is the rightmost byte.
chapter16 fpu instruction set details 16-5 16.4 computational instructions computational instructions include all of the arithmetic floating-point operations performed by the fpu. figure 16.4.1 shows the r-type instruction format used for computational operations. r-type (register) fd fs function cop1 fmt ft 5 6 10 11 15 16 20 21 25 6 26 31 0 5 5 5 5 6 where: cop1 is a 6-bit major operation code fmt is a 5-bit format specifier fs is a 5-bit source1 register ft is a 5-bit source2 register fd is a 5-bit destination register function is a 6-bit function field figure 16.4.1 computational instruction format each floating-point instruction can be applied to a number of operand formats. the operand format for an instruction is specified by the 5-bit fmt field; decoding for this field is shown in table 16.4.1. table 16.4.1 format field decoding code mnemonic size format 16 s single binary floating-point 17 d double binary floating-point 18 reserved 19 reserved 20 w single 32-bit binary fixed-point 21 l longword 64-bit binary fixed-point 22-31 reserved the function indicates which floating-point operation is to be performed. table 16.4.2 lists all floating-point instructions.
chapter16 fpu instruction set details 16-6 table 16.4.2 floating-point instructions and operations function[5:0] mnemonic operation 0 add add 1 sub subtract 2 mul multiply 3 div divide 4 sqrt square root 5 abs absolute value 6 mov move 7 neg negate 8 round.l convert to single fixed-point, rounded to nearest/even 9 trunc.l convert to single fix ed-point, rounded toward zero 10 ceil.l convert to single fixed-point, rounded to + 11 floor.l convert to single fixed-point, rounded to ? 12 round.w convert to single fixed-point, rounded to nearest/even 13 trunc.w convert to single fi xed-point, rounded toward zero 14 ceil.w convert to single fixed-point, rounded to + 15 floor.w convert to single fixed-point, rounded to ? 16-31 ? reserved 32 cvt.s convert to single floating-point 33 cvt.d convert to double floating-point 34 ? reserved 35 ? reserved 36 cvt.w convert to binary fixed-point 37 cvt.l convert to 64-bit binary fixed-point 38-47 ? reserved 48-63 c floating-point compare
chapter16 fpu instruction set details 16-7 in the following pages, the notation fgr refers to the fpu?s 32 general-purpose registers fgro through fgr31, and fpr refers to the fpu?s floating-point registers. when the fr bit in the status register (sr 26 ) equals zero, only the even floating-point registers are valid and the fpu?s 32 general-purpose registers are 32-bits wide. when the fr bit in the status register (sr 26 ) equals one, both odd and even floating-point registers may be used and the fpu?s 32 general-purpose registers are 64-bits wide. the following routines are used in th e description of the floating-point operations to get the value of an fpr or to change the value of an fgr: 32 bit mode value < - - valuefpr (fpr, fmt) / * undefined for odd fpr * / case fmt of s, w: value < - - fgr[fpr + 0] d: / * undefined for fpr not even * / value < - - fgr[fpr + 1] ? ? fgr[fpr + 0] end storefpr (fpr, fmt, value): / * undefined for odd fpr * / case fmt of s, w: fgr[fpr + 1] < - - undefined fgr[fpr + 0] < - - value d: fgr[fpr + 1] < - - value 63:32 fgr[fpr + 0] < - - value 31:0 end 64 bit mode value < - - valuefpr (fpr, fmt) case fmt of s: value < - - fgr[fpr] 31:0 d, l: value < - - fgr[fpr] w: value < - - fgr[fpr] end storefpr (fpr, fmt, value): case fmt of s, w: fgr[fpr] < - - undefined 32 ? ? value d, l: fgr[fpr] < - - value end
chapter16 fpu instruction set details 16-8 16.5 bit encoding of fpu instruction op codes table 16.5.1 shows the bit codes for all tx49 fpu instructions (isa and extended isa). table 16.5.1 fpu operation code bit encoding op code 31 26 0 op code [28:26] [31:29] 0 1 2 3 4 5 6 7 0 1 2 cop1 3 4 5 6 lwc1 ldc1 7 swc1 sdc1 sub 31 26 25 21 0 op code sub [23:21] [25:24] 0 1 2 3 4 5 6 7 0 mf dmf cf mt dmt ct 1 bc 2 s d w l 3
chapter16 fpu instruction set details 16-9 br 31 26 20 16 0 op code br [18:16] [20:19] 0 1 2 3 4 5 6 7 0 bcf bct bcfl bctl 1 2 3 cp1 function 31 26 5 0 op code cp1 function [2:0] [5:3] 0 1 2 3 4 5 6 7 0 add sub mul div sqrt abs mov neg 1 round.l trunc.l ceil.l floor.l round.w trunc.w ceil.w floorw 2 3 4 cvt.s cvt.d cvt.w cvt.l 5 6 c.f c.un c.eq c.ueq c.olt c.ult c.ole c.ule 7 c.sf c.ngle c.seq c.ngl c.lt c.nge c.le c.ngt key: : this opcode is reserved for future use. an attempt to execute it causes a reserved instruction exception. : thie opcode is reserved for future use. an attempt to execute it causes a unimplemented operation exceptions in all current implementations. : this opcode is valid only when mips iii instructions are enabled. an attempt to execute these without mips iii instruction enabled will cause an unimplemented operation exception. : this opcode is valid only when the tx49 has a double precision fpu in hardware. an attempt to execute these without it will cause an unimplemented operation exception. note: fpu instructions are valid only when tx49 has with fpu(cp1). an attempt to execute these insturctions causes a coprocessor unusable exception, independent of c0_sr(bit 29)?s value.
chapter16 fpu instruction set details 16-10
chapter 17 electrical characteristics 17-1 17. electrical characteristics esd precautions: for handling precautions, see section 1.1, electrostatic discharge (esd), in the chapter on general safety precautions and usage considerations. 17.1 tmpr4955b electrical characteristics 17.1.1 absolute maximum ratings v ss = 0 v (gnd) parameter symbol ratings unit supply voltage (i/o) v cc iomax ? 0.3 to 3.9 v supply voltage (core) v cc intmax ? 0.3 to 3.0 v input voltage (note 2) v in ? 0.3 to v cc io + 0.3 v storage temperature t stg ? 40 to + 125 c note 1: the absolute maximum ratings are rated values that must not be exceeded during operation, even for an instant. any one of the ratings must not be exceeded. if any absolute maximum rating is exceeded, a device may break down or its performance may be degraded, causing it to catch fire or explode resulting in injury to the user. thus, when designing products which include this device, ensure that no absolute maximum rating value will ever be exceeded. note 2: even v cc io + 0.3 shall not exceed the v cc iomax rating. 17.1.2 recommended operating conditions v ss = 0 v (gnd) parameter symbol conditions min max unit supply voltage (i/o) v cc io i/o = 3.3 v 3.1 3.5 v supply voltage (core) v cc int 1.4 1.6 v operating case temperature t c 0 +70 c note: the recommended operating conditions for a device are those under which it can be guaranteed that the device will operate as specified. if the device is used under operating conditions other than the recommended operating conditions (supply voltage, operating temperature range, specified ac and dc values, etc.), malfunction may occur. thus, when designing products which include this device, ensure that the recommended operating conditions for the device are always adhered to.
chapter 17 electrical characteristics 17-2 17.1.3 dc characteristics 17.1.3.1 dc characteristics t c = 0 c to 70 c, v cc int = 1.5 v 0.1 v, v cc io = 3.3 v 0.2 v parameter symbol conditions min max units i oh = ? 4 ma (4-ma buffer) i oh = ? 8 ma (8-ma buffer) output high voltage v oh i oh = ? 12 ma (12-ma buffer) v cc io ? 0.6 ? v i ol = 4 ma (4-ma buffer) i ol = 8 ma (8-ma buffer) output low voltage v ol i ol = 12 ma (12-ma buffer) ? 0.4 v v ih except for masterclock input 2 v cc io + 0.3 input high voltage v ihc applies to masterclock 0.8v cc io v cc io + 0.3 v v il except for masterclock input ? 0.5 0.8 input low voltage v ilc applies to masterclock ? 0.5 0.2v cc io v input leakage i li applies to pins except ( * 1) ( * 2) ? 10 a input leakage (with pull-up) (note 1) i llu ? 70 ? 10 a input leakage (with pull-down) (note 2) i lid 10 70 a output leakage i lo ? 20 a input capacitance c in ? 10 pf note 1: applies to the following input pins that have an internal pull-up resistor. int[5:0] * , nmi * , reset * , jtms, jtck, jtdi, tpc1 (if they need be pulled down, use a resistor with 20 k ? .) note 2: applies to the following input pins that have an internal pull-down resistor. trst * , rdrdy * , tpc2, tpc3, divmode[2] (if they need be pulled up, use a resistor with 20 k ? .) 17.1.3.2 operating current t c = 0 c to 70 c, v cc int = 1.5 v 0.1 v, v cc io = 3.3 v 0.2 v parameter symbol conditions typ. max units cpuclk = 200 mhz 300 450 operating current 1 (core power supply: when normal operating mode) i ccint1 cpuclk = 300 mhz 400 550 ma cpuclk = 200 mhz 200 300 operating current 2 (core power supply: when drystone 2.1 running) i ccint2 cpuclk = 300 mhz 300 400 ma cpuclk = 200 mhz 100 170 operating current 3 (core power supply: when halt mode operating) i ccint3 cpuclk = 300 mhz 130 200 ma operating current 4 (core power supply: when masterclock stopped) i ccint4 masterclock = 0 mhz cpuclk = 0 mhz 50 80 ma masterclock = 133 hz bufsel = 100% (8-ma buffer) load = 25 pf 80 100 operating current (power supply for i/o pin) i ccio masterclock = 100 mhz bufsel = 100% (8-ma buffer) load = 25 pf 60 80 ma
chapter 17 electrical characteristics 17-3 17.1.4 ac characteristics 17.1.4.1 clock timing t c = 0 c to 70 c, v cc int = 1.5 v 0.1 v, v cc io = 3.3 v 0.2 v parameter symbol conditions min max units masterclock high width t mch transition 2 ns 2 ? ns masterclock low width t mcl transition 2 ns 2 ? ns masterclock frequency (note 1) f mck 33 133 mhz products for 200 mhz 133 200 internal operation frequency ? products for 300 mhz 133 300 mhz masterclock period t mcp ? 7.5 30 ns masterclock rise time t mcr ? ? 2 ns masterclock fall time t mcf ? ? 2 ns note 1: operation of the tx4955b is only guaranteed with the phase lock loop enabled. note 2: all output timings assume a 25-pf capacitive load. 17.1.4.2 system interface t c = 0 c to 70 c, v cc int = 1.5 v 0.1 v, v cc io = 3.3 v 0.2 v parameter symbol conditions min max units bufsel = 0 100% (8-ma buffer) 1.0 (note 4) 5.0 data output (note 1, 2, 3) t do bufsel = 1 50% (4-ma buffer) 1.0 (note 4) 5.5 ns data setup (note 3) t ds ? 2.5 ? ns data hold (note 3) t dh ? 1.0 ? ns note 1: timings are measured from 1.5 v of masterclock to 1.5 v of each signal. note 2: capacitive load for all output timings is 25 pf. note 3: applies to all system interface signals. note 4: offers a guarantee of design. 17.1.5 timing diagrams 17.1.5.1 clock timing t mch t mcl t mcr t mcf t mcp t mcm t mcl 0.8 * v cc io 0.2 * v cc io 1.5 v masterclock
chapter 17 electrical characteristics 17-4 17.1.5.2 clock relationships 17.1.5.3 system interface timing gbusclk (internal signal) sysad syscmd validout * , release * validln * , extrqst * , wrrdy * , rdrdy * , int[5:0] * , nmi * valid output masterclock t ds t dh valid input t do t ds t dh valid input t do t dh cycle cpuclk (internal signal) gbusclk (internal signal) sysad driven sysad received 3 2 1 d t ds 4 ddd masterclock ddd d t dh t do
chapter 17 electrical characteristics 17-5 17.1.5.4 power-on reset timing masterclock & pll stabilization time 10 ms v cc io v cc int v cc pll masterclock (mclk) coldreset * 1 ms pllreset * gbusclk (internal clock) reset * 17.1.5.5 coldreset timing divmode[2:0] coldreset * masterclock 64,000 master clock cycles t ds t dh reset * 16 master clock cycles t ds t dh 17.1.5.6 soft reset timig coldreset * masterclock reset * 16 master clock cycles t ds t dh t ds
chapter 17 electrical characteristics 17-6 17.2 TMPR4955C electrical characteristics 17.2.1 absolute maximum ratings v ss = 0 v (gnd) parameter symbol ratings unit supply voltage (i/o) v cc iomax ? 0.3 to 3.9 v supply voltage (core) v cc intmax ? 0.3 to 2.0 v input voltage (note 2) v in ? 0.3 to v cc io + 0.3 v storage temperature t stg ? 40 to + 125 c note1: the absolute maximum ratings are rated values that must not be exceeded during operation, even for an instant. any one of the ratings must not be exceeded. if any absolute maximum rating is exceeded, a device may break down or its performance may be degraded, causing it to catch fire or explode resulting in injury to the user. thus, when designing products which include this device, ensure that no absolute maximum rating value will ever be exceeded. note 2: even v cc io + 0.3 shall not exceed the v cc iomax rating. 17.2.2 recommended operating conditions v ss = 0 v (gnd) parameter symbol conditions min max unit i/o = 3.3 v 3.1 3.5 v supply voltage (i/o) v cc io i/o = 2.5 v 2.3 2.7 v supply voltage (core) v cc int 1.188 1.312 v operating case temperature t c ? 20 +85 c note: the recommended operating conditions for a device are those under which it can be guaranteed that the device will operate as specified. if the device is used under operating conditions other than the recommended operating conditions (supply voltage, operating temperature range, specified ac and dc values, etc.), malfunction may occur. thus, when designing products which include this device, ensure that the recommended operating conditions for the device are always adhered to.
chapter 17 electrical characteristics 17-7 17.2.3 dc characteristics 17.2.3.1 dc characteristics t c = ? 20 c to 85 c, v cc int = 1.25 v 5 %, v cc io = 3.3 v 0.3 v or 2.5 v 0.2 v parameter symbol conditions min max units i oh = ? 8 ma (8-ma buffer) output high voltage v oh i oh = ? 16 ma (16-ma buffer) v cc io ? 0.6 ? v i ol = 8 ma (8-ma buffer) output low voltage v ol i ol = 16 ma (16-ma buffer) ? 0.4 v v ih except for masterclock input 2 v cc io + 0.3 input high voltage v ihc applies to masterclock 0.8v cc io v cc io + 0.3 v except for masterclock input when i/o=3.3v ? 0.5 0.8 v il except for masterclock input when i/o=2.5v ? 0.5 0.6 input low voltage v ilc applies to masterclock ? 0.5 0.2v cc io v input leakage i li applies to pins except ( * 1) ( * 2) ? 10 a input leakage (with pull-up) (note 1) i llu ? 70 ? 10 a input leakage (with pull-down) (note 2) i lid 10 70 a output leakage i lo ? 20 a input capacitance c in ? 10 pf note 1: applies to the following input pins that have an internal pull-up resistor. int[5:0] * , nmi * , reset * , jtms, jtck, jtdi, tpc[1] (if they need be pulled down, use a resistor with 20 k ? .) note 2: applies to the following input pins that have an internal pull-down resistor. trst * , rdrdy * , tpc[2], tpc[3] (if they need be pulled up, use a resistor with 20 k ? .) 17.2.3.2 operating current t c = ? 20 c to 85 c, v cc int = 1.25 v 5 %, v cc io = 3.3 v 0.2 v or 2.5 v 0.2 v parameter symbol conditions typ. max units operating current 1 (core power supply: when normal operating mode) i ccint1 cpuclk = 400 mhz 330 620 ma operating current 2 (core power supply: when drystone 2.1 running) i ccint2 cpuclk = 400 mhz 260 500 ma operating current 3 (core power supply: when halt mode operating) i ccint3 cpuclk = 400 mhz 110 280 ma operating current 4 (core power supply: when masterclock stopped) i ccint4 masterclock = 0 mhz cpuclk = 0 mhz 30 200 ma masterclock = 133 hz bufsel = 1 50% (8-ma buffer) load = 25 pf 120 150 operating current (when 3.3v power supply for i/o pin) i ccio33 masterclock = 100 mhz bufsel = 1 50% (8-ma buffer) load = 25 pf 100 130 ma masterclock = 133 hz bufsel = 0 100% (16-ma buffer) load = 25 pf 80 100 operating current (when 2.5v power supply for i/o pin) i ccio25 masterclock = 100 mhz bufsel = 0 100% (16-ma buffer) load = 25 pf 60 80 ma
chapter 17 electrical characteristics 17-8 17.2.4 ac characteristics 17.2.4.1 clock timing t c = ? 20 c to 85 c, v cc int = 1.25 v 5 %, v cc io = 3.3 v 0.2 v or 2.5 v 0.2 v parameter symbol conditions min max units masterclock high width t mch transition 2 ns 2 ? ns masterclock low width t mcl transition 2 ns 2 ? ns masterclock frequency (note 1) f mck 33 133 mhz internal operation frequency ? products for 400 mhz 133 400 mhz masterclock period t mcp ? 7.5 30 ns masterclock rise time t mcr ? ? 2 ns masterclock fall time t mcf ? ? 2 ns note 1: operation of the tx4955c is only guaranteed with the phase lock loop enabled. note 2: all output timings assume a 25-pf capacitive load. 17.2.4.2 system interface t c = ? 20 c to 85 c, v cc int = 1.25 v 5 %, v cc io = 3.3 v 0.2 v parameter symbol conditions min max units bufsel = 0 100% (16-ma buffer) 1.0 (note 4) 4.0 data output (note 1, 2, 3) t do bufsel = 1 50% (8-ma buffer) 1.0 (note 4) 4.5 ns data setup (note 3) t ds ? 2.3 ? ns data hold (note 3) t dh ? 0.5 ? ns t c = ? 20 c to 85 c, v cc int = 1.25 v 5 %, v cc io = 2.5 v 0.2 v parameter symbol conditions min max units bufsel = 0 100% (16-ma buffer) 1.0 (note 4) 4.5 data output (note 1, 2, 3) t do bufsel = 1 50% (8-ma buffer) 1.0 (note 4) 5.0 ns data setup (note 3) t ds ? 2.5 ? ns data hold (note 3) t dh ? 0.5 ? ns note 1: timings are measured from 1.5 v of masterclock to 1.5 v of each signal. note 2: capacitive load for all output timings is 25 pf. note 3: applies to all system interface signals. note 4: offers a guarantee of design. 17.2.5 timing diagrams 17.2.5.1 clock timing t mch t mcl t mcr t mcf t mcp t mcm t mcl 0.8 * v cc io 0.2 * v cc io 1.5 v masterclock
chapter 17 electrical characteristics 17-9 17.2.5.2 clock relationships 17.2.5.3 system interface timing gbusclk (internal signal) sysad syscmd validout * , release * validln * , extrqst * , wrrdy * , rdrdy * , int[5:0] * , nmi * valid output masterclock t ds t dh valid input t do t ds t dh valid input t do t dh cycle cpuclk (internal signal) gbusclk (internal signal) sysad driven sysad received 3 2 1 d t ds 4 ddd masterclock ddd d t dh t do
chapter 17 electrical characteristics 17-10 17.2.5.4 power-on reset timing masterclock & pll stabilization time 10 ms v cc io v cc int v cc pll masterclock (mclk) coldreset * 1 ms pllreset * gbusclk (internal clock) reset * 17.2.5.5 coldreset timing divmode[2:0] coldreset * masterclock 64,000 master clock cycles t ds t dh reset * 16 master clock cycles t ds t dh 17.2.5.6 soft reset timig coldreset * masterclock reset * 16 master clock cycles t ds t dh t ds
chapter 18 package dimension 18-1 18. package dimension 18.1 tmpr4955bfg/TMPR4955Cfg ? qfp160-p-2828-0.65a
chapter 18 package dimension 18-2
appendix a pll passive components a-1 appendix a. pll passive components the phase locked loop circuit requires several passive components for proper operation, which are connected to vccpll, and vsspll, as illustrated in figure a.1. figure a.1 pll recommended circuit values: ? r = 5.6 ? (note 1) ? l = 2.2 h ? c1 = 1 nf (note 1) ? c2 = 82 nf (note 1) ? c3 = 10 f (note 1) ? vccint = 1.5 v 0.1v(tmpr4955b), 1.25 v 5%(TMPR4955C) the inductors (l) can be used as alternatives to the resistors (r) to filter the power supply. it is essential to isolate the analog power and ground for the pll circuit (vccpll/vsspll) from the regular power and ground (vccint/vss). note 1: these value should be changed to suitable value for each board. tx4955 vccint vccpll vsspll vss c1 c2 c3 r l c1, c2, c3, r and l are board components which should be placed as close as possible to the processor. r l
appendix a pll passive components a-2
appendix b movement parameter setting of a processor b-1 appendix b. movement parameter setting of a processor a table explains movement parameter with a processor. item description writeback protocol these modes are selected by g2sconfig-register. writeback data rate these modes are selected by g2sconfig-register. clock multiplier (clock ratio of masterclock) these modes are selected by external pin (divmode[2:0]) which are loaded at power-on or deasserting of coldreset * . <TMPR4955C> endian set endian is selected by external pin (endian) which are loaded at power-on or deasserting of coldreset * . 0: little endian 1: big endian timer interrupt timer-interrupt is selected by external pin (tintdis). 0: timer-interrup is enable 1: timer-interrupt is disable sysad bus protocol type sysad bus protocol type is selected by external pin (mode43 * ) which are loaded at power-on or deasserting of coldreset * . 0: r4300 type 1: r5000 type note 1: address (32-bit), w: word (32-bit), x:idle cycle note 2: initial set of data rate single write: awxx (r4300 compatible) block write: awwwwwwww 00 r4000 compatible 32-bit:awxx 01 reserved reserved 10 reissue write 32-bit:aw write mode 11 pipeline write 32-bit:aw 0 consecutive translation 32-bit:awwwwwww date rate 1 dummy cycle 32-bit:awxxwxxwxxwxx wxxwxxwxxwxx divmode[2:0] ec-bit ratio 000 010 1:4 001 111 1:2.5 010 000 1:2 011 001 1:3 100 ? ? 101 101 1:4.5 110 110 1:5 111 ? ? divmode[2:0] ec-bit ratio 000 010 1:4 001 111 1:2.5 010 000 1:2 011 001 1:3 100 100 1:3.5 101 101 1:4.5 110 110 1:5 111 011 1:6
appendix b movement parameter setting of a processor b-2
appendix c differences between tmpr4955bfg and TMPR4955Cfg c-1 appendix c. differences between tmpr4955bfg and TMPR4955Cfg items tmpr4955bfg TMPR4955Cfg 1 part number (abbreviation) tmpr4955bfg-200/-300 (tx4955b-200/-300) TMPR4955Cfg-400 (tx4955c-400) 2 cpu core tx49/h3 core tx49/h4 core 3 internal maximum operating frequency 200 mhz / 300 mhz 400 mhz 4 the frequency relationship between the system bus interface (sysad bus) and the cpu core 1:2, 1:2.5, 1:3, 1:4, 1:4.5, 1:5 1:2, 1:2.5, 1:3, 1:3.5, 1:4, 1:4.5, 1:5, 1:6 5 prid (cp0) fcr0 (cp1) jtagid prid: 0x00002d30 fcr0: 0x00002d30 jtagid: 0x10017031 prid: 0x00002d40 fcr0: 0x00002d40 jtagid: 0x0002c031 6 output buffer selection 4 ma, 8 ma, 12 ma 8 ma, 16 ma 7 pin assignment no.2: bufsel[1] no.35: bufsel[0] no2: bufsel no.35: vccio 8 the signals not supporting jtag boundary scan registers masterclock: not support divmode[2]: not support coldreset * : handled as internal signals reset * : handled as internal signals bufsel[1]: handled as internal signals bufsel[0]: handled as internal signals masterclock: not support coldreset * : handled as internal signals reset * : handled as internal signals bufsel: handled as internal signals 9 vccint (internal power supply) vccint = 1.5 v 0.1 v vccint = 1.25 v 5% 10 ac / dc characteristics refer chapter 17 refer chapter 17 11 recommended operating case temperature tc = 0 70 c tc = -20 85 c
appendix c differences between tmpr4955bfg and TMPR4955Cfg c-2


▲Up To Search▲   

 
Price & Availability of TMPR4955C

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X